1
0
rocket-chip/rocket/src/main/scala/dpath_util.scala

309 lines
9.6 KiB
Scala
Raw Normal View History

package rocket
2012-10-08 05:15:54 +02:00
import Chisel._
import Util._
2012-10-08 05:15:54 +02:00
import Node._
import uncore.constants.AddressConstants._
2012-10-08 05:15:54 +02:00
import scala.math._
2013-01-07 22:38:59 +01:00
class DpathBTBIO extends Bundle
{
2013-08-12 19:39:11 +02:00
val current_pc = UInt(INPUT, VADDR_BITS);
val hit = Bool(OUTPUT);
2013-08-12 19:39:11 +02:00
val target = UInt(OUTPUT, VADDR_BITS);
val wen = Bool(INPUT);
val clr = Bool(INPUT);
val invalidate = Bool(INPUT);
2013-08-12 19:39:11 +02:00
val correct_pc = UInt(INPUT, VADDR_BITS);
val correct_target = UInt(INPUT, VADDR_BITS);
}
// fully-associative branch target buffer
2013-08-12 19:39:11 +02:00
class rocketDpathBTB(entries: Int) extends Module
{
2013-01-07 22:38:59 +01:00
val io = new DpathBTBIO
val repl_way = LFSR16(io.wen)(log2Up(entries)-1,0) // TODO: pseudo-LRU
var hit_reduction = Bool(false)
2012-05-24 19:33:15 +02:00
val hit = Bool()
val update = Bool()
var update_reduction = Bool(false)
2013-08-12 19:39:11 +02:00
val hits = Vec.fill(entries){Bool()}
val updates = Vec.fill(entries){Bool()}
val targets = Vec.fill(entries){Reg(UInt())}
val anyUpdate = updates.toBits.orR
for (i <- 0 until entries) {
2013-08-12 19:39:11 +02:00
val tag = Reg(UInt())
val valid = RegReset(Bool(false))
hits(i) := valid && tag === io.current_pc
updates(i) := valid && tag === io.correct_pc
2013-08-12 19:39:11 +02:00
when (io.wen && (updates(i) || !anyUpdate && UInt(i) === repl_way)) {
2012-10-10 06:35:03 +02:00
valid := Bool(false)
when (!io.clr) {
valid := Bool(true)
tag := io.correct_pc
targets(i) := io.correct_target
2012-10-10 06:35:03 +02:00
}
}
}
io.hit := hits.toBits.orR
io.target := Mux1H(hits, targets)
}
2012-11-27 10:28:06 +01:00
class Status extends Bundle {
val ip = Bits(width = 8)
2012-11-27 10:28:06 +01:00
val im = Bits(width = 8)
val zero = Bits(width = 7)
val vm = Bool()
val s64 = Bool()
val u64 = Bool()
val s = Bool()
val ps = Bool()
val ec = Bool()
val ev = Bool()
val ef = Bool()
val et = Bool()
}
object PCR
{
2012-11-27 10:28:06 +01:00
// commands
val SZ = 3
val X = Bits("b???", 3)
val N = Bits(0,3)
val F = Bits(1,3) // mfpcr
val T = Bits(4,3) // mtpcr
val C = Bits(6,3) // clearpcr
val S = Bits(7,3) // setpcr
// regs
val STATUS = 0
val EPC = 1
val BADVADDR = 2
val EVEC = 3
val COUNT = 4
val COMPARE = 5
val CAUSE = 6
val PTBR = 7
val SEND_IPI = 8
val CLR_IPI = 9
val COREID = 10
val IMPL = 11
val K0 = 12
val K1 = 13
val VECBANK = 18
val VECCFG = 19
val STATS = 28
2012-11-27 10:28:06 +01:00
val RESET = 29
val TOHOST = 30
val FROMHOST = 31
}
2013-08-12 19:39:11 +02:00
class PCR(implicit conf: RocketConfiguration) extends Module
{
2012-11-27 10:28:06 +01:00
val io = new Bundle {
val host = new HTIFIO(conf.tl.ln.nClients)
val rw = new Bundle {
2013-08-12 19:39:11 +02:00
val addr = UInt(INPUT, log2Up(conf.nxpr))
val cmd = Bits(INPUT, PCR.SZ)
2013-04-10 22:47:30 +02:00
val rdata = Bits(OUTPUT, conf.xprlen)
val wdata = Bits(INPUT, conf.xprlen)
}
2012-11-27 10:28:06 +01:00
val status = new Status().asOutput
2013-08-12 19:39:11 +02:00
val ptbr = UInt(OUTPUT, PADDR_BITS)
val evec = UInt(OUTPUT, VADDR_BITS)
2012-11-27 10:28:06 +01:00
val exception = Bool(INPUT)
2013-08-12 19:39:11 +02:00
val cause = UInt(INPUT, 6)
2012-11-27 10:28:06 +01:00
val badvaddr_wen = Bool(INPUT)
val vec_irq_aux = Bits(INPUT, conf.xprlen)
val vec_irq_aux_wen = Bool(INPUT)
2013-08-12 19:39:11 +02:00
val pc = UInt(INPUT, VADDR_BITS+1)
2012-11-27 10:28:06 +01:00
val eret = Bool(INPUT)
val ei = Bool(INPUT)
val di = Bool(INPUT)
val ptbr_wen = Bool(OUTPUT)
val irq_timer = Bool(OUTPUT)
val irq_ipi = Bool(OUTPUT)
val replay = Bool(OUTPUT)
val vecbank = Bits(OUTPUT, 8)
2013-08-12 19:39:11 +02:00
val vecbankcnt = UInt(OUTPUT, 4)
val stats = Bool(OUTPUT)
2013-08-12 19:39:11 +02:00
val vec_appvl = UInt(INPUT, 12)
val vec_nxregs = UInt(INPUT, 6)
val vec_nfregs = UInt(INPUT, 6)
2012-11-27 10:28:06 +01:00
}
import PCR._
2013-08-12 19:39:11 +02:00
val reg_epc = Reg(Bits(width = conf.xprlen))
val reg_badvaddr = Reg(Bits(width = conf.xprlen))
val reg_ebase = Reg(Bits(width = conf.xprlen))
val reg_count = WideCounter(32)
2013-08-12 19:39:11 +02:00
val reg_compare = Reg(Bits(width = 32))
val reg_cause = Reg(Bits(width = io.cause.getWidth))
val reg_tohost = RegReset(Bits(0, conf.xprlen))
val reg_fromhost = RegReset(Bits(0, conf.xprlen))
val reg_coreid = Reg(Bits(width = 16))
val reg_k0 = Reg(Bits(width = conf.xprlen))
val reg_k1 = Reg(Bits(width = conf.xprlen))
val reg_ptbr = Reg(UInt(width = PADDR_BITS))
val reg_vecbank = RegReset(SInt(-1,8).toBits)
val reg_stats = RegReset(Bool(false))
val reg_error_mode = RegReset(Bool(false))
val reg_status = Reg(new Status) // reset down below
2012-11-27 10:28:06 +01:00
2013-08-12 19:39:11 +02:00
val r_irq_timer = RegReset(Bool(false))
val r_irq_ipi = RegReset(Bool(true))
2013-08-12 19:39:11 +02:00
val host_pcr_req_valid = Reg(Bool()) // don't reset
val host_pcr_req_fire = host_pcr_req_valid && io.rw.cmd === PCR.N
2013-08-12 19:39:11 +02:00
val host_pcr_rep_valid = Reg(Bool()) // don't reset
val host_pcr_bits = Reg(io.host.pcr_req.bits)
2012-12-06 23:22:07 +01:00
io.host.pcr_req.ready := !host_pcr_req_valid && !host_pcr_rep_valid
io.host.pcr_rep.valid := host_pcr_rep_valid
io.host.pcr_rep.bits := host_pcr_bits.data
when (io.host.pcr_req.fire()) {
host_pcr_req_valid := true
host_pcr_bits := io.host.pcr_req.bits
}
when (host_pcr_req_fire) {
host_pcr_req_valid := false
host_pcr_rep_valid := true
host_pcr_bits.data := io.rw.rdata
2012-12-06 23:22:07 +01:00
}
when (io.host.pcr_rep.fire()) { host_pcr_rep_valid := false }
val addr = Mux(io.rw.cmd != PCR.N, io.rw.addr, host_pcr_bits.addr)
val wen = io.rw.cmd === PCR.T || io.rw.cmd === PCR.S || io.rw.cmd === PCR.C ||
host_pcr_req_fire && host_pcr_bits.rw
val wdata = Mux(io.rw.cmd != PCR.N, io.rw.wdata, host_pcr_bits.data)
2012-11-27 10:28:06 +01:00
io.status := reg_status
io.status.ip := Cat(r_irq_timer, reg_fromhost.orR, r_irq_ipi, Bool(false),
Bool(false), Bool(false), Bool(false), Bool(false))
io.ptbr_wen := wen && addr === PTBR
2013-08-12 19:39:11 +02:00
io.evec := Mux(io.exception, reg_ebase, reg_epc).toUInt
2012-11-27 10:28:06 +01:00
io.ptbr := reg_ptbr
io.host.debug.error_mode := reg_error_mode
2012-02-09 10:28:16 +01:00
io.vecbank := reg_vecbank
2013-08-12 19:39:11 +02:00
var cnt = UInt(0,4)
2012-02-09 10:28:16 +01:00
for (i <- 0 until 8)
cnt = cnt + reg_vecbank(i)
io.vecbankcnt := cnt(3,0)
io.stats := reg_stats
when (io.badvaddr_wen || io.vec_irq_aux_wen) {
val wdata = Mux(io.badvaddr_wen, io.rw.wdata, io.vec_irq_aux)
val (upper, lower) = Split(wdata, VADDR_BITS)
2013-08-12 19:39:11 +02:00
val sign = Mux(lower.toSInt < SInt(0), upper.andR, upper.orR)
reg_badvaddr := Cat(sign, lower).toSInt
2012-03-14 22:15:28 +01:00
}
2012-02-12 02:20:33 +01:00
when (io.exception) {
2012-11-27 10:28:06 +01:00
when (!reg_status.et) {
reg_error_mode := true
2012-02-12 02:20:33 +01:00
}
reg_status.s := true
reg_status.ps := reg_status.s
reg_status.et := false
2013-08-12 19:39:11 +02:00
reg_epc := io.pc.toSInt
reg_cause := io.cause
}
2011-11-14 22:48:49 +01:00
2012-02-12 02:20:33 +01:00
when (io.eret) {
2012-11-27 10:28:06 +01:00
reg_status.s := reg_status.ps
reg_status.et := true
}
2012-02-12 02:20:33 +01:00
2011-11-13 09:27:57 +01:00
when (reg_count === reg_compare) {
2012-02-12 02:20:33 +01:00
r_irq_timer := Bool(true);
2011-11-13 09:27:57 +01:00
}
2012-02-12 02:20:33 +01:00
2011-11-14 12:24:02 +01:00
io.irq_timer := r_irq_timer;
io.irq_ipi := r_irq_ipi;
io.host.ipi_req.valid := io.rw.cmd === PCR.T && io.rw.addr === SEND_IPI
io.host.ipi_req.bits := io.rw.wdata
io.replay := io.host.ipi_req.valid && !io.host.ipi_req.ready
2013-08-12 19:39:11 +02:00
when (host_pcr_req_fire && !host_pcr_bits.rw && host_pcr_bits.addr === TOHOST) { reg_tohost := UInt(0) }
2012-11-27 10:28:06 +01:00
val read_impl = Bits(2)
val read_ptbr = reg_ptbr(PADDR_BITS-1,PGIDX_BITS) << PGIDX_BITS
val read_veccfg = if (conf.vec) Cat(io.vec_nfregs, io.vec_nxregs, io.vec_appvl) else Bits(0)
2012-11-27 10:28:06 +01:00
val read_cause = reg_cause(reg_cause.getWidth-1) << conf.xprlen-1 | reg_cause(reg_cause.getWidth-2,0)
io.rw.rdata := AVec[Bits](
io.status.toBits, reg_epc, reg_badvaddr, reg_ebase,
2012-11-27 10:28:06 +01:00
reg_count, reg_compare, read_cause, read_ptbr,
reg_coreid/*x*/, read_impl/*x*/, reg_coreid, read_impl,
reg_k0, reg_k1, reg_k0/*x*/, reg_k1/*x*/,
reg_vecbank/*x*/, read_veccfg/*x*/, reg_vecbank, read_veccfg,
reg_vecbank/*x*/, read_veccfg/*x*/, reg_vecbank/*x*/, read_veccfg/*x*/,
reg_vecbank/*x*/, read_veccfg/*x*/, reg_tohost/*x*/, reg_fromhost/*x*/,
reg_stats/*x*/, read_veccfg/*x*/, reg_tohost, reg_fromhost
)(addr)
2011-11-13 09:27:57 +01:00
when (wen) {
when (addr === STATUS) {
val sr_wdata = Mux(io.rw.cmd === PCR.S, reg_status.toBits | wdata,
Mux(io.rw.cmd === PCR.C, reg_status.toBits & ~wdata,
wdata))
reg_status := new Status().fromBits(sr_wdata)
2012-11-27 10:28:06 +01:00
reg_status.zero := 0
if (!conf.vec) reg_status.ev := false
if (!conf.fpu) reg_status.ef := false
if (!conf.rvc) reg_status.ec := false
2012-02-12 02:20:33 +01:00
}
2013-08-12 19:39:11 +02:00
when (addr === EPC) { reg_epc := wdata(VADDR_BITS,0).toSInt }
when (addr === EVEC) { reg_ebase := wdata(VADDR_BITS-1,0).toSInt }
when (addr === COUNT) { reg_count := wdata.toUInt }
when (addr === COMPARE) { reg_compare := wdata(31,0).toUInt; r_irq_timer := Bool(false); }
when (addr === COREID) { reg_coreid := wdata(15,0) }
2013-08-12 19:39:11 +02:00
when (addr === FROMHOST) { when (reg_fromhost === UInt(0) || !host_pcr_req_fire) { reg_fromhost := wdata } }
when (addr === TOHOST) { when (reg_tohost === UInt(0)) { reg_tohost := wdata } }
when (addr === CLR_IPI) { r_irq_ipi := wdata(0) }
when (addr === K0) { reg_k0 := wdata; }
when (addr === K1) { reg_k1 := wdata; }
2013-08-12 19:39:11 +02:00
when (addr === PTBR) { reg_ptbr := Cat(wdata(PADDR_BITS-1, PGIDX_BITS), Bits(0, PGIDX_BITS)).toUInt; }
when (addr === VECBANK) { reg_vecbank:= wdata(7,0) }
when (addr === STATS) { reg_stats := wdata(0) }
2012-02-12 02:20:33 +01:00
}
io.host.ipi_rep.ready := Bool(true)
when (io.host.ipi_rep.valid) { r_irq_ipi := Bool(true) }
2013-08-14 02:50:02 +02:00
when(reset) {
2012-11-27 10:28:06 +01:00
reg_status.et := false
reg_status.ef := false
reg_status.ev := false
reg_status.ec := false
reg_status.ps := false
reg_status.s := true
reg_status.u64 := true
reg_status.s64 := true
reg_status.vm := false
reg_status.zero := 0
reg_status.im := 0
reg_status.ip := 0
}
}
class ioReadPort(d: Int, w: Int) extends Bundle
{
override def clone = new ioReadPort(d, w).asInstanceOf[this.type]
}
class ioWritePort(d: Int, w: Int) extends Bundle
{
2013-08-12 19:39:11 +02:00
val addr = UInt(INPUT, log2Up(d))
val en = Bool(INPUT)
val data = Bits(INPUT, w)
override def clone = new ioWritePort(d, w).asInstanceOf[this.type]
}