1
0
rocket-chip/rocket/src/main/scala/rocc.scala

302 lines
8.9 KiB
Scala
Raw Normal View History

2014-09-13 03:06:41 +02:00
// See LICENSE for license details.
package rocket
import Chisel._
2013-09-15 07:34:53 +02:00
import uncore._
2014-05-15 01:17:39 +02:00
import Util._
2015-10-22 03:18:32 +02:00
import cde.{Parameters, Field}
case object RoccMaxTaggedMemXacts extends Field[Int]
case object RoccNMemChannels extends Field[Int]
2016-02-25 07:39:00 +01:00
case object RoccNPTWPorts extends Field[Int]
case object RoccNCSRs extends Field[Int]
class RoCCCSRs(implicit p: Parameters) extends CoreBundle()(p) {
val rdata = Vec(nRoccCsrs, UInt(INPUT, xLen))
val waddr = UInt(OUTPUT, CSR.ADDRSZ)
val wdata = UInt(OUTPUT, xLen)
val wen = Bool(OUTPUT)
}
2013-09-15 00:31:50 +02:00
class RoCCInstruction extends Bundle
{
val funct = Bits(width = 7)
val rs2 = Bits(width = 5)
val rs1 = Bits(width = 5)
2013-09-15 00:31:50 +02:00
val xd = Bool()
val xs1 = Bool()
val xs2 = Bool()
val rd = Bits(width = 5)
2013-09-15 00:31:50 +02:00
val opcode = Bits(width = 7)
}
2015-10-06 06:48:05 +02:00
class RoCCCommand(implicit p: Parameters) extends CoreBundle()(p) {
2013-09-15 00:31:50 +02:00
val inst = new RoCCInstruction
val rs1 = Bits(width = xLen)
val rs2 = Bits(width = xLen)
2013-09-15 00:31:50 +02:00
}
2015-10-06 06:48:05 +02:00
class RoCCResponse(implicit p: Parameters) extends CoreBundle()(p) {
2013-09-15 00:31:50 +02:00
val rd = Bits(width = 5)
val data = Bits(width = xLen)
2013-09-15 00:31:50 +02:00
}
class RoCCInterface(implicit p: Parameters) extends CoreBundle()(p) {
2013-09-15 00:31:50 +02:00
val cmd = Decoupled(new RoCCCommand).flip
val resp = Decoupled(new RoCCResponse)
2015-10-06 06:48:05 +02:00
val mem = new HellaCacheIO()(p.alterPartial({ case CacheName => "L1D" }))
2014-01-29 07:13:16 +01:00
val busy = Bool(OUTPUT)
2014-02-06 09:09:42 +01:00
val s = Bool(INPUT)
2014-01-29 07:13:16 +01:00
val interrupt = Bool(OUTPUT)
// These should be handled differently, eventually
val autl = new ClientUncachedTileLinkIO
val utl = Vec(p(RoccNMemChannels), new ClientUncachedTileLinkIO)
2016-02-25 07:39:00 +01:00
val ptw = Vec(p(RoccNPTWPorts), new TLBPTWIO)
2015-04-02 10:30:11 +02:00
val fpu_req = Decoupled(new FPInput)
val fpu_resp = Decoupled(new FPResult).flip
2014-01-29 07:13:16 +01:00
val exception = Bool(INPUT)
val csr = (new RoCCCSRs).flip
val host_id = UInt(INPUT, log2Up(nCores))
2016-01-13 00:36:16 +01:00
override def cloneType = new RoCCInterface().asInstanceOf[this.type]
2013-09-15 00:31:50 +02:00
}
2015-10-06 06:48:05 +02:00
abstract class RoCC(implicit p: Parameters) extends CoreModule()(p) {
val io = new RoCCInterface
io.mem.req.bits.phys := Bool(true) // don't perform address translation
2013-09-15 00:31:50 +02:00
}
2015-10-06 06:48:05 +02:00
class AccumulatorExample(n: Int = 4)(implicit p: Parameters) extends RoCC()(p) {
val regfile = Mem(n, UInt(width = xLen))
val busy = Reg(init = Vec.fill(n){Bool(false)})
2013-09-15 00:31:50 +02:00
2013-09-15 07:34:53 +02:00
val cmd = Queue(io.cmd)
val funct = cmd.bits.inst.funct
val addr = cmd.bits.rs2(log2Up(n)-1,0)
2013-09-15 07:34:53 +02:00
val doWrite = funct === UInt(0)
val doRead = funct === UInt(1)
val doLoad = funct === UInt(2)
val doAccum = funct === UInt(3)
val memRespTag = io.mem.resp.bits.tag(log2Up(n)-1,0)
// datapath
val addend = cmd.bits.rs1
2013-09-15 00:31:50 +02:00
val accum = regfile(addr)
2013-09-15 07:34:53 +02:00
val wdata = Mux(doWrite, addend, accum + addend)
2013-09-15 00:31:50 +02:00
2013-09-15 07:34:53 +02:00
when (cmd.fire() && (doWrite || doAccum)) {
2013-09-15 00:31:50 +02:00
regfile(addr) := wdata
}
2013-09-15 07:34:53 +02:00
when (io.mem.resp.valid) {
regfile(memRespTag) := io.mem.resp.bits.data
}
// control
when (io.mem.req.fire()) {
busy(addr) := Bool(true)
}
when (io.mem.resp.valid) {
busy(memRespTag) := Bool(false)
}
val doResp = cmd.bits.inst.xd
val stallReg = busy(addr)
val stallLoad = doLoad && !io.mem.req.ready
val stallResp = doResp && !io.resp.ready
cmd.ready := !stallReg && !stallLoad && !stallResp
// command resolved if no stalls AND not issuing a load that will need a request
// PROC RESPONSE INTERFACE
io.resp.valid := cmd.valid && doResp && !stallReg && !stallLoad
// valid response if valid command, need a response, and no stalls
2013-09-15 07:34:53 +02:00
io.resp.bits.rd := cmd.bits.inst.rd
// Must respond with the appropriate tag or undefined behavior
io.resp.bits.data := accum
// Semantics is to always send out prior accumulator register value
2013-09-15 07:34:53 +02:00
io.busy := cmd.valid || busy.reduce(_||_)
// Be busy when have pending memory requests or committed possibility of pending requests
2013-09-15 00:31:50 +02:00
io.interrupt := Bool(false)
// Set this true to trigger an interrupt on the processor (please refer to supervisor documentation)
2013-09-15 07:34:53 +02:00
// MEMORY REQUEST INTERFACE
io.mem.req.valid := cmd.valid && doLoad && !stallReg && !stallResp
2013-09-15 07:34:53 +02:00
io.mem.req.bits.addr := addend
io.mem.req.bits.tag := addr
2013-09-15 07:34:53 +02:00
io.mem.req.bits.cmd := M_XRD // perform a load (M_XWR for stores)
io.mem.req.bits.typ := MT_D // D = 8 bytes, W = 4, H = 2, B = 1
io.mem.req.bits.data := Bits(0) // we're not performing any stores...
io.mem.invalidate_lr := false
2014-05-15 01:17:39 +02:00
io.autl.acquire.valid := false
io.autl.grant.ready := false
2013-09-15 00:31:50 +02:00
}
class TranslatorExample(implicit p: Parameters) extends RoCC()(p) {
val req_addr = Reg(UInt(width = coreMaxAddrBits))
val req_rd = Reg(io.resp.bits.rd)
val req_offset = req_addr(pgIdxBits - 1, 0)
val req_vpn = req_addr(coreMaxAddrBits - 1, pgIdxBits)
val ppn = Reg(UInt(width = ppnBits))
val error = Reg(Bool())
val s_idle :: s_ptw_req :: s_ptw_resp :: s_resp :: Nil = Enum(Bits(), 4)
val state = Reg(init = s_idle)
io.cmd.ready := (state === s_idle)
when (io.cmd.fire()) {
req_rd := io.cmd.bits.inst.rd
req_addr := io.cmd.bits.rs1
state := s_ptw_req
}
2016-02-25 07:39:00 +01:00
private val ptw = io.ptw(0)
2016-02-25 07:39:00 +01:00
when (ptw.req.fire()) { state := s_ptw_resp }
when (state === s_ptw_resp && ptw.resp.valid) {
error := ptw.resp.bits.error
ppn := ptw.resp.bits.pte.ppn
state := s_resp
}
when (io.resp.fire()) { state := s_idle }
2016-02-25 07:39:00 +01:00
ptw.req.valid := (state === s_ptw_req)
ptw.req.bits.addr := req_vpn
ptw.req.bits.store := Bool(false)
ptw.req.bits.fetch := Bool(false)
io.resp.valid := (state === s_resp)
io.resp.bits.rd := req_rd
io.resp.bits.data := Mux(error, SInt(-1).toUInt, Cat(ppn, req_offset))
io.busy := (state =/= s_idle)
io.interrupt := Bool(false)
io.mem.req.valid := Bool(false)
io.autl.acquire.valid := Bool(false)
io.autl.grant.ready := Bool(false)
}
class CharacterCountExample(implicit p: Parameters) extends RoCC()(p)
with HasTileLinkParameters {
private val blockOffset = tlBeatAddrBits + tlByteAddrBits
val needle = Reg(UInt(width = 8))
val addr = Reg(UInt(width = coreMaxAddrBits))
val count = Reg(UInt(width = xLen))
val resp_rd = Reg(io.resp.bits.rd)
val addr_block = addr(coreMaxAddrBits - 1, blockOffset)
val offset = addr(blockOffset - 1, 0)
val next_addr = (addr_block + UInt(1)) << UInt(blockOffset)
val s_idle :: s_acq :: s_gnt :: s_check :: s_resp :: Nil = Enum(Bits(), 5)
val state = Reg(init = s_idle)
val gnt = io.autl.grant.bits
val recv_data = Reg(UInt(width = tlDataBits))
val recv_beat = Reg(UInt(width = tlBeatAddrBits))
val data_bytes = Vec.tabulate(tlDataBytes) { i => recv_data(8 * (i + 1) - 1, 8 * i) }
val zero_match = data_bytes.map(_ === UInt(0))
val needle_match = data_bytes.map(_ === needle)
val first_zero = PriorityEncoder(zero_match)
val chars_found = PopCount(needle_match.zipWithIndex.map {
case (matches, i) =>
val idx = Cat(recv_beat, UInt(i, tlByteAddrBits))
matches && idx >= offset && UInt(i) <= first_zero
})
val zero_found = zero_match.reduce(_ || _)
val finished = Reg(Bool())
io.cmd.ready := (state === s_idle)
io.resp.valid := (state === s_resp)
io.resp.bits.rd := resp_rd
io.resp.bits.data := count
io.autl.acquire.valid := (state === s_acq)
io.autl.acquire.bits := GetBlock(addr_block = addr_block)
io.autl.grant.ready := (state === s_gnt)
when (io.cmd.fire()) {
addr := io.cmd.bits.rs1
needle := io.cmd.bits.rs2
resp_rd := io.cmd.bits.inst.rd
count := UInt(0)
finished := Bool(false)
state := s_acq
}
when (io.autl.acquire.fire()) { state := s_gnt }
when (io.autl.grant.fire()) {
recv_beat := gnt.addr_beat
recv_data := gnt.data
state := s_check
}
when (state === s_check) {
when (!finished) {
count := count + chars_found
}
when (zero_found) { finished := Bool(true) }
when (recv_beat === UInt(tlDataBeats - 1)) {
addr := next_addr
state := Mux(zero_found || finished, s_resp, s_acq)
} .otherwise {
state := s_gnt
}
}
when (io.resp.fire()) { state := s_idle }
io.busy := (state =/= s_idle)
io.interrupt := Bool(false)
io.mem.req.valid := Bool(false)
}
class OpcodeSet(val opcodes: Seq[UInt]) {
def |(set: OpcodeSet) =
new OpcodeSet(this.opcodes ++ set.opcodes)
def matches(oc: UInt) = opcodes.map(_ === oc).reduce(_ || _)
}
object OpcodeSet {
val custom0 = new OpcodeSet(Seq(Bits("b0001011")))
val custom1 = new OpcodeSet(Seq(Bits("b0101011")))
val custom2 = new OpcodeSet(Seq(Bits("b1011011")))
val custom3 = new OpcodeSet(Seq(Bits("b1111011")))
val all = custom0 | custom1 | custom2 | custom3
}
class RoccCommandRouter(opcodes: Seq[OpcodeSet])(implicit p: Parameters)
extends CoreModule()(p) {
val io = new Bundle {
val in = Decoupled(new RoCCCommand).flip
val out = Vec(opcodes.size, Decoupled(new RoCCCommand))
val busy = Bool(OUTPUT)
}
val cmd = Queue(io.in)
val cmdReadys = io.out.zip(opcodes).map { case (out, opcode) =>
val me = opcode.matches(cmd.bits.inst.opcode)
out.valid := cmd.valid && me
out.bits := cmd.bits
out.ready && me
}
cmd.ready := cmdReadys.reduce(_ || _)
io.busy := cmd.valid
assert(PopCount(cmdReadys) <= UInt(1),
"Custom opcode matched for more than one accelerator")
}