1
0
rocket-chip/rocket/src/main/scala
2012-07-22 17:48:17 -07:00
..
arbiter.scala decouple all interfaces between tile and top 2012-07-17 22:55:40 -07:00
coherence.scala removing wires 2012-05-24 10:42:39 -07:00
consts.scala moving util out into Chisel standard library 2012-06-06 12:51:26 -07:00
cpu.scala decouple all interfaces between tile and top 2012-07-17 22:55:40 -07:00
ctrl_util.scala INPUT/OUTPUT orderring swapped 2012-07-12 18:16:57 -07:00
ctrl_vec.scala INPUT/OUTPUT orderring swapped 2012-07-12 18:16:57 -07:00
ctrl.scala allow back pressure on IPI requests 2012-07-17 22:55:40 -07:00
decode.scala improved instruction decoding 2012-05-01 20:16:36 -07:00
divider.scala moving util out into Chisel standard library 2012-06-06 12:51:26 -07:00
dpath_alu.scala INPUT/OUTPUT orderring swapped 2012-07-12 18:16:57 -07:00
dpath_util.scala allow back pressure on IPI requests 2012-07-17 22:55:40 -07:00
dpath_vec.scala INPUT/OUTPUT orderring swapped 2012-07-12 18:16:57 -07:00
dpath.scala type casting 2012-07-18 13:03:35 -07:00
dtlb.scala INPUT/OUTPUT orderring swapped 2012-07-12 18:16:57 -07:00
fpu.scala INPUT/OUTPUT orderring swapped 2012-07-12 18:16:57 -07:00
htif.scala decouple all interfaces between tile and top 2012-07-17 22:55:40 -07:00
icache_prefetch.scala moving util out into Chisel standard library 2012-06-06 12:51:26 -07:00
icache.scala INPUT/OUTPUT orderring swapped 2012-07-12 18:16:57 -07:00
instructions.scala add IPIs and an IPI test 2012-05-08 22:58:00 -07:00
itlb.scala INPUT/OUTPUT orderring swapped 2012-07-12 18:16:57 -07:00
llc.scala decouple all interfaces between tile and top 2012-07-17 22:55:40 -07:00
memserdes.scala ioDecoupled -> FIFOIO, ioPipe -> PipeIO 2012-06-06 18:22:56 -07:00
multiplier.scala INPUT/OUTPUT orderring swapped 2012-07-12 18:16:57 -07:00
nbdcache.scala fix dcache tag array size 2012-07-16 22:19:03 -07:00
ptw.scala INPUT/OUTPUT orderring swapped 2012-07-12 18:16:57 -07:00
queues.scala decouple all interfaces between tile and top 2012-07-17 22:55:40 -07:00
slowio.scala ioDecoupled -> FIFOIO, ioPipe -> PipeIO 2012-06-06 18:22:56 -07:00
tile.scala decouple all interfaces between tile and top 2012-07-17 22:55:40 -07:00
top.scala hoist out uncore as its own component 2012-07-22 17:48:17 -07:00
uncore.scala decouple all interfaces between tile and top 2012-07-17 22:55:40 -07:00
util.scala ioDecoupled -> FIFOIO, ioPipe -> PipeIO 2012-06-06 18:22:56 -07:00