1
0
Fork 0
rocket-chip/emulator/Makefile

67 lines
2.1 KiB
Makefile
Raw Normal View History

default: all
2014-09-01 05:26:55 +02:00
base_dir = $(abspath ..)
generated_dir = $(abspath ./generated-src)
generated_dir_debug = $(abspath ./generated-src-debug)
2013-05-01 11:58:53 +02:00
sim_dir = .
2014-09-01 05:26:55 +02:00
output_dir = $(sim_dir)/output
BACKEND = c
2016-05-25 20:08:11 +02:00
CONFIG ?= DefaultConfig
2013-05-01 11:58:53 +02:00
include $(base_dir)/Makefrag
2012-10-02 04:30:11 +02:00
2014-09-01 05:26:55 +02:00
CXXSRCS := emulator mm mm_dramsim2
CXXFLAGS := $(CXXFLAGS) -std=c++11 -I$(RISCV)/include -I$(base_dir)/csrc -I$(base_dir)/dramsim2
LDFLAGS := $(LDFLAGS) -L$(RISCV)/lib -Wl,-rpath,$(RISCV)/lib -L$(abspath $(sim_dir)) -ldramsim -lfesvr -lpthread
2014-01-31 21:25:19 +01:00
emu = emulator-$(MODEL)-$(CONFIG)
emu_debug = emulator-$(MODEL)-$(CONFIG)-debug
ifeq ($(CHISEL_VERSION),2)
include $(sim_dir)/Makefrag-emulator
else
include $(sim_dir)/Makefrag-verilator
endif
all: $(emu)
debug: $(emu_debug)
2012-10-02 04:30:11 +02:00
clean:
rm -rf *.o *.a emulator-* $(generated_dir) $(generated_dir_debug) DVEfiles $(output_dir)
test:
cd $(base_dir) && $(SBT) "~make $(CURDIR) run-fast $(CHISEL_ARGS)"
2012-10-02 04:30:11 +02:00
.PHONY: default all debug clean test
2012-10-02 04:30:11 +02:00
#--------------------------------------------------------------------
# Run assembly tests and benchmarks
#--------------------------------------------------------------------
ifneq ($(MAKECMDGOALS),clean)
-include $(generated_dir)/$(MODEL).$(CONFIG).d
endif
$(output_dir)/%.run: $(output_dir)/% $(emu)
2016-05-01 05:59:36 +02:00
./$(emu) +dramsim +max-cycles=$(timeout_cycles) $< 2> /dev/null 2> $@ && [ $$PIPESTATUS -eq 0 ]
2012-10-02 04:30:11 +02:00
$(output_dir)/%.out: $(output_dir)/% $(emu)
2016-05-01 05:59:36 +02:00
./$(emu) +dramsim +max-cycles=$(timeout_cycles) +verbose $< $(disasm) $@ && [ $$PIPESTATUS -eq 0 ]
2012-10-02 04:30:11 +02:00
$(output_dir)/%.vcd: $(output_dir)/% $(emu_debug)
2016-05-01 05:59:36 +02:00
./$(emu_debug) +dramsim +max-cycles=$(timeout_cycles) +verbose -v$@ $< $(disasm) $(patsubst %.vcd,%.out,$@) && [ $$PIPESTATUS -eq 0 ]
2012-10-02 04:30:11 +02:00
$(output_dir)/%.vpd: $(output_dir)/% $(emu_debug)
rm -rf $@.vcd && mkfifo $@.vcd
2013-03-26 01:01:13 +01:00
vcd2vpd $@.vcd $@ > /dev/null &
2016-05-01 05:59:36 +02:00
./$(emu_debug) +dramsim +max-cycles=$(timeout_cycles) +verbose -v$@.vcd $< $(disasm) $(patsubst %.vpd,%.out,$@) && [ $$PIPESTATUS -eq 0 ]
2012-10-02 04:30:11 +02:00
2015-07-13 23:54:26 +02:00
run: run-asm-tests run-bmark-tests
run-debug: run-asm-tests-debug run-bmark-tests-debug
run-fast: run-asm-tests-fast run-bmark-tests-fast
.PHONY: run-asm-tests run-bmarks-test
.PHONY: run-asm-tests-debug run-bmark-tests-debug
.PHONY: run run-debug run-fast