1
0
rocket-chip/emulator/Makefile

121 lines
5.3 KiB
Makefile
Raw Normal View History

2012-10-02 04:30:11 +02:00
basedir = ..
include ../Makefrag
CXX := g++
CXXFLAGS := -O1
all: emulator
CXXSRCS := emulator disasm
CXXFLAGS := $(CXXFLAGS) -Itestbench -I$(basedir)/chisel/csrc -I../dramsim2
2012-10-02 04:30:11 +02:00
OBJS := $(addsuffix .o,$(CXXSRCS) $(MODEL))
DEBUG_OBJS := $(addsuffix -debug.o,$(CXXSRCS) $(MODEL))
2012-10-02 04:30:11 +02:00
generated-src/$(MODEL).cpp: $(basedir)/riscv-rocket/src/*.scala $(basedir)/riscv-hwacha/src/*.scala $(basedir)/chisel/src/main/scala/* $(basedir)/uncore/src/*.scala
cd $(basedir)/sbt && $(SBT) "project referencechip" "run ReferenceChip.$(MODEL) --backend c --noIoDebug --targetDir ../emulator/generated-src"
2012-10-02 04:30:11 +02:00
generated-src-debug/$(MODEL).cpp: $(basedir)/riscv-rocket/src/*.scala $(basedir)/riscv-hwacha/src/*.scala $(basedir)/chisel/src/main/scala/* $(basedir)/uncore/src/*.scala
cd $(basedir)/sbt && $(SBT) "project referencechip" "run ReferenceChip.$(MODEL) --backend c --debug --vcd --targetDir ../emulator/generated-src-debug"
2012-10-02 04:30:11 +02:00
$(MODEL).o: %.o: generated-src/%.cpp
$(CXX) $(CXXFLAGS) -Igenerated-src -c -o $@ $<
$(MODEL)-debug.o: %-debug.o: generated-src-debug/%.cpp
$(CXX) $(CXXFLAGS) -Igenerated-src-debug -c -o $@ $<
$(addsuffix .o,$(CXXSRCS)): %.o: testbench/%.cc testbench/* generated-src/$(MODEL).cpp
$(CXX) $(CXXFLAGS) -Igenerated-src -c -o $@ $<
$(addsuffix -debug.o,$(CXXSRCS)): %-debug.o: testbench/%.cc testbench/* generated-src-debug/$(MODEL).cpp
$(CXX) $(CXXFLAGS) -Igenerated-src-debug -c -o $@ $<
DRAMSIM_OBJS := $(patsubst %.cpp,%.o,$(wildcard ../dramsim2/*.cpp))
$(DRAMSIM_OBJS): %.o: %.cpp
$(CXX) $(CXXFLAGS) -DNO_STORAGE -Dmain=nomain -c -o $@ $<
libdramsim.a: $(DRAMSIM_OBJS)
ar rcs $@ $^
2012-10-02 04:30:11 +02:00
emulator: $(OBJS) libdramsim.a
$(CXX) $(CXXFLAGS) -o $@ $(OBJS) -L. -ldramsim
2012-10-02 04:30:11 +02:00
emulator-debug: $(DEBUG_OBJS) libdramsim.a
$(CXX) $(CXXFLAGS) -o $@ $(DEBUG_OBJS) -L. -ldramsim
2012-10-02 04:30:11 +02:00
all: emulator
clean:
rm -rf *.o emulator emulator-debug generated-src generated-src-debug DVEfiles
#--------------------------------------------------------------------
# Run assembly tests and benchmarks
#--------------------------------------------------------------------
global_asm_tests_out = $(addsuffix .out, $(global_asm_tests) $(global_asm_vm_tests))
global_vecasm_tests_out = $(addsuffix .out, $(global_vecasm_tests) $(global_vecasm_vm_tests))
global_vecasm_timer_tests_out = $(addsuffix .out, $(global_vecasm_timer_tests))
global_bmarks_out = $(addsuffix .out, $(global_bmarks))
global_asm_tests_vpd = $(addsuffix .vpd, $(global_asm_tests))
global_vecasm_tests_vpd = $(addsuffix .vpd, $(global_vecasm_tests))
global_vecasm_timer_tests_vpd = $(addsuffix .vpd, $(global_vecasm_timer_tests))
global_bmarks_vpd = $(addsuffix .vpd, $(global_bmarks))
$(global_asm_tests_out): %.out: $(global_tstdir)/%.hex emulator
fesvr -c -testrun -m2000000 -l +loadmem=$< none 2> $@
2012-10-02 04:30:11 +02:00
$(global_vecasm_tests_out): %.out: $(global_tstdir)/%.hex emulator
fesvr -c -testrun -m2000000 -l +loadmem=$< none 2> $@
2012-10-02 04:30:11 +02:00
$(global_vecasm_timer_tests_out): %.out: $(global_tstdir)/%.hex emulator
fesvr -c -testrun -m3000000 -l +loadmem=$< none 2> $@
$(global_bmarks_out): %.out: $(global_bmarkdir)/%.hex emulator
fesvr -c -testrun -m1000000 -l +loadmem=$< none 2> $@
$(global_asm_tests_vpd): %.vpd: $(global_tstdir)/%.hex emulator-debug
fesvr -c./emulator-debug -testrun -m1000000 -l -v- +loadmem=$< none 2> $(patsubst %.vpd,%.out,$@) | vcd2vpd - $@ > /dev/null
$(global_vecasm_tests_vpd): %.vpd: $(global_tstdir)/%.hex emulator-debug
fesvr -c./emulator-debug -testrun -m1000000 -l -v- +loadmem=$< none 2> $(patsubst %.vpd,%.out,$@) | vcd2vpd - $@ > /dev/null
$(global_vecasm_timer_tests_vpd): %.vpd: $(global_tstdir)/%.hex emulator-debug
fesvr -c./emulator-debug -testrun -m3000000 -l -v- +loadmem=$< none 2> $(patsubst %.vpd,%.out,$@) | vcd2vpd - $@ > /dev/null
$(global_bmarks_vpd): %.vpd: $(global_bmarkdir)/%.hex emulator-debug
fesvr -c./emulator-debug -testrun -m1000000 -l -v- +loadmem=$< none 2> $(patsubst %.vpd,%.out,$@) | vcd2vpd - $@ > /dev/null
run-asm-tests: $(global_asm_tests_out)
@echo; perl -ne 'print " [$$1] $$ARGV \t$$2\n" if /\*{3}(.{8})\*{3}(.*)/' \
$(global_asm_tests_out); echo;
run-vecasm-tests: $(global_vecasm_tests_out)
@echo; perl -ne 'print " [$$1] $$ARGV \t$$2\n" if /\*{3}(.{8})\*{3}(.*)/' \
$(global_vecasm_tests_out); echo;
run-vecasm-timer-tests: $(global_vecasm_timer_tests_out)
@echo; perl -ne 'print " [$$1] $$ARGV \t$$2\n" if /\*{3}(.{8})\*{3}(.*)/' \
$(global_vecasm_timer_tests_out); echo;
run-bmarks-test: $(global_bmarks_out)
@echo; perl -ne 'print " [$$1] $$ARGV \t$$2\n" if /\*{3}(.{8})\*{3}(.*)/' \
$(global_bmarks_out); echo;
run-asm-tests-debug: $(global_asm_tests_vpd)
@echo; perl -ne 'print " [$$1] $$ARGV \t$$2\n" if /\*{3}(.{8})\*{3}(.*)/' \
$(global_asm_tests_out); echo;
run-vecasm-tests-debug: $(global_vecasm_tests_vpd)
@echo; perl -ne 'print " [$$1] $$ARGV \t$$2\n" if /\*{3}(.{8})\*{3}(.*)/' \
$(global_vecasm_tests_out); echo;
run-vecasm-timer-tests-debug: $(global_vecasm_timer_tests_vpd)
@echo; perl -ne 'print " [$$1] $$ARGV \t$$2\n" if /\*{3}(.{8})\*{3}(.*)/' \
$(global_vecasm_timer_tests_out); echo;
run-bmarks-test-debug: $(global_bmarks_vpd)
@echo; perl -ne 'print " [$$1] $$ARGV \t$$2\n" if /\*{3}(.{8})\*{3}(.*)/' \
$(global_bmarks_out); echo;
run: run-asm-tests run-vecasm-tests run-vecasm-timer-tests run-bmarks-test
run-debug: run-asm-tests-debug run-vecasm-tests-debug run-vecasm-timer-tests-debug run-bmarks-test-debug