Compare commits
10 Commits
e1a3ab745c
...
master
Author | SHA1 | Date | |
---|---|---|---|
13460a2bc1 | |||
1116ec8a50 | |||
7202b01c93 | |||
398e9c96cb | |||
728f3e9315 | |||
410ace7f7b | |||
e85e843f7b | |||
80a02e74e2 | |||
5545c594f4 | |||
9e0332e2b7 |
@ -1,11 +1,33 @@
|
|||||||
EESchema-LIBRARY Version 2.3
|
EESchema-LIBRARY Version 2.4
|
||||||
#encoding utf-8
|
#encoding utf-8
|
||||||
#
|
#
|
||||||
# Conn_01x02
|
# alu-rescue:AP1117D33
|
||||||
#
|
#
|
||||||
DEF Conn_01x02 J 0 40 Y N 1 F N
|
DEF alu-rescue:AP1117D33 U 0 30 Y Y 1 F N
|
||||||
|
F0 "U" 100 -250 50 H V C CNN
|
||||||
|
F1 "alu-rescue:AP1117D33" 0 250 50 H V C CNN
|
||||||
|
F2 "" 0 0 50 H V C CNN
|
||||||
|
F3 "" 0 0 50 H V C CNN
|
||||||
|
$FPLIST
|
||||||
|
SOT223
|
||||||
|
SOT89-3
|
||||||
|
TO220-3
|
||||||
|
TO252
|
||||||
|
TO263
|
||||||
|
$ENDFPLIST
|
||||||
|
DRAW
|
||||||
|
S -200 -200 200 200 0 1 10 f
|
||||||
|
X GND/ADJ 1 0 -300 100 U 50 50 1 1 W
|
||||||
|
X VO 2 300 0 100 L 50 50 1 1 w
|
||||||
|
X VI 3 -300 0 100 R 50 50 1 1 W
|
||||||
|
ENDDRAW
|
||||||
|
ENDDEF
|
||||||
|
#
|
||||||
|
# alu-rescue:Conn_01x02
|
||||||
|
#
|
||||||
|
DEF alu-rescue:Conn_01x02 J 0 40 Y N 1 F N
|
||||||
F0 "J" 0 100 50 H V C CNN
|
F0 "J" 0 100 50 H V C CNN
|
||||||
F1 "Conn_01x02" 0 -200 50 H V C CNN
|
F1 "alu-rescue:Conn_01x02" 0 -200 50 H V C CNN
|
||||||
F2 "" 0 0 50 H I C CNN
|
F2 "" 0 0 50 H I C CNN
|
||||||
F3 "" 0 0 50 H I C CNN
|
F3 "" 0 0 50 H I C CNN
|
||||||
$FPLIST
|
$FPLIST
|
||||||
@ -25,11 +47,11 @@ X Pin_2 2 -200 -100 150 R 50 50 1 1 P
|
|||||||
ENDDRAW
|
ENDDRAW
|
||||||
ENDDEF
|
ENDDEF
|
||||||
#
|
#
|
||||||
# Conn_01x04
|
# alu-rescue:Conn_01x04
|
||||||
#
|
#
|
||||||
DEF Conn_01x04 J 0 40 Y N 1 F N
|
DEF alu-rescue:Conn_01x04 J 0 40 Y N 1 F N
|
||||||
F0 "J" 0 200 50 H V C CNN
|
F0 "J" 0 200 50 H V C CNN
|
||||||
F1 "Conn_01x04" 0 -300 50 H V C CNN
|
F1 "alu-rescue:Conn_01x04" 0 -300 50 H V C CNN
|
||||||
F2 "" 0 0 50 H I C CNN
|
F2 "" 0 0 50 H I C CNN
|
||||||
F3 "" 0 0 50 H I C CNN
|
F3 "" 0 0 50 H I C CNN
|
||||||
$FPLIST
|
$FPLIST
|
||||||
@ -53,11 +75,11 @@ X Pin_4 4 -200 -200 150 R 50 50 1 1 P
|
|||||||
ENDDRAW
|
ENDDRAW
|
||||||
ENDDEF
|
ENDDEF
|
||||||
#
|
#
|
||||||
# Conn_01x16
|
# alu-rescue:Conn_01x16
|
||||||
#
|
#
|
||||||
DEF Conn_01x16 J 0 40 Y N 1 F N
|
DEF alu-rescue:Conn_01x16 J 0 40 Y N 1 F N
|
||||||
F0 "J" 0 800 50 H V C CNN
|
F0 "J" 0 800 50 H V C CNN
|
||||||
F1 "Conn_01x16" 0 -900 50 H V C CNN
|
F1 "alu-rescue:Conn_01x16" 0 -900 50 H V C CNN
|
||||||
F2 "" 0 0 50 H I C CNN
|
F2 "" 0 0 50 H I C CNN
|
||||||
F3 "" 0 0 50 H I C CNN
|
F3 "" 0 0 50 H I C CNN
|
||||||
$FPLIST
|
$FPLIST
|
||||||
@ -87,6 +109,13 @@ S -50 605 0 595 1 1 6 N
|
|||||||
S -50 705 0 695 1 1 6 N
|
S -50 705 0 695 1 1 6 N
|
||||||
S -50 750 50 -850 1 1 10 f
|
S -50 750 50 -850 1 1 10 f
|
||||||
X Pin_1 1 -200 700 150 R 50 50 1 1 P
|
X Pin_1 1 -200 700 150 R 50 50 1 1 P
|
||||||
|
X Pin_10 10 -200 -200 150 R 50 50 1 1 P
|
||||||
|
X Pin_11 11 -200 -300 150 R 50 50 1 1 P
|
||||||
|
X Pin_12 12 -200 -400 150 R 50 50 1 1 P
|
||||||
|
X Pin_13 13 -200 -500 150 R 50 50 1 1 P
|
||||||
|
X Pin_14 14 -200 -600 150 R 50 50 1 1 P
|
||||||
|
X Pin_15 15 -200 -700 150 R 50 50 1 1 P
|
||||||
|
X Pin_16 16 -200 -800 150 R 50 50 1 1 P
|
||||||
X Pin_2 2 -200 600 150 R 50 50 1 1 P
|
X Pin_2 2 -200 600 150 R 50 50 1 1 P
|
||||||
X Pin_3 3 -200 500 150 R 50 50 1 1 P
|
X Pin_3 3 -200 500 150 R 50 50 1 1 P
|
||||||
X Pin_4 4 -200 400 150 R 50 50 1 1 P
|
X Pin_4 4 -200 400 150 R 50 50 1 1 P
|
||||||
@ -95,21 +124,14 @@ X Pin_6 6 -200 200 150 R 50 50 1 1 P
|
|||||||
X Pin_7 7 -200 100 150 R 50 50 1 1 P
|
X Pin_7 7 -200 100 150 R 50 50 1 1 P
|
||||||
X Pin_8 8 -200 0 150 R 50 50 1 1 P
|
X Pin_8 8 -200 0 150 R 50 50 1 1 P
|
||||||
X Pin_9 9 -200 -100 150 R 50 50 1 1 P
|
X Pin_9 9 -200 -100 150 R 50 50 1 1 P
|
||||||
X Pin_10 10 -200 -200 150 R 50 50 1 1 P
|
|
||||||
X Pin_11 11 -200 -300 150 R 50 50 1 1 P
|
|
||||||
X Pin_12 12 -200 -400 150 R 50 50 1 1 P
|
|
||||||
X Pin_13 13 -200 -500 150 R 50 50 1 1 P
|
|
||||||
X Pin_14 14 -200 -600 150 R 50 50 1 1 P
|
|
||||||
X Pin_15 15 -200 -700 150 R 50 50 1 1 P
|
|
||||||
X Pin_16 16 -200 -800 150 R 50 50 1 1 P
|
|
||||||
ENDDRAW
|
ENDDRAW
|
||||||
ENDDEF
|
ENDDEF
|
||||||
#
|
#
|
||||||
# GND
|
# power:GND
|
||||||
#
|
#
|
||||||
DEF GND #PWR 0 0 Y Y 1 F P
|
DEF power:GND #PWR 0 0 Y Y 1 F P
|
||||||
F0 "#PWR" 0 -250 50 H I C CNN
|
F0 "#PWR" 0 -250 50 H I C CNN
|
||||||
F1 "GND" 0 -150 50 H V C CNN
|
F1 "power:GND" 0 -150 50 H V C CNN
|
||||||
F2 "" 0 0 50 H I C CNN
|
F2 "" 0 0 50 H I C CNN
|
||||||
F3 "" 0 0 50 H I C CNN
|
F3 "" 0 0 50 H I C CNN
|
||||||
DRAW
|
DRAW
|
||||||
@ -118,24 +140,24 @@ X GND 1 0 0 0 D 50 50 1 1 W N
|
|||||||
ENDDRAW
|
ENDDRAW
|
||||||
ENDDEF
|
ENDDEF
|
||||||
#
|
#
|
||||||
# PWR_FLAG
|
# power:PWR_FLAG
|
||||||
#
|
#
|
||||||
DEF PWR_FLAG #FLG 0 0 N N 1 F P
|
DEF power:PWR_FLAG #FLG 0 0 N N 1 F P
|
||||||
F0 "#FLG" 0 75 50 H I C CNN
|
F0 "#FLG" 0 75 50 H I C CNN
|
||||||
F1 "PWR_FLAG" 0 150 50 H V C CNN
|
F1 "power:PWR_FLAG" 0 150 50 H V C CNN
|
||||||
F2 "" 0 0 50 H I C CNN
|
F2 "" 0 0 50 H I C CNN
|
||||||
F3 "" 0 0 50 H I C CNN
|
F3 "" 0 0 50 H I C CNN
|
||||||
DRAW
|
DRAW
|
||||||
X pwr 1 0 0 0 U 50 50 0 0 w
|
|
||||||
P 6 0 1 0 0 0 0 50 -40 75 0 100 40 75 0 50 N
|
P 6 0 1 0 0 0 0 50 -40 75 0 100 40 75 0 50 N
|
||||||
|
X pwr 1 0 0 0 U 50 50 0 0 w
|
||||||
ENDDRAW
|
ENDDRAW
|
||||||
ENDDEF
|
ENDDEF
|
||||||
#
|
#
|
||||||
# VCC
|
# power:VCC
|
||||||
#
|
#
|
||||||
DEF VCC #PWR 0 0 Y Y 1 F P
|
DEF power:VCC #PWR 0 0 Y Y 1 F P
|
||||||
F0 "#PWR" 0 -150 50 H I C CNN
|
F0 "#PWR" 0 -150 50 H I C CNN
|
||||||
F1 "VCC" 0 150 50 H V C CNN
|
F1 "power:VCC" 0 150 50 H V C CNN
|
||||||
F2 "" 0 0 50 H I C CNN
|
F2 "" 0 0 50 H I C CNN
|
||||||
F3 "" 0 0 50 H I C CNN
|
F3 "" 0 0 50 H I C CNN
|
||||||
DRAW
|
DRAW
|
||||||
@ -145,59 +167,59 @@ X VCC 1 0 0 0 U 50 50 1 1 W N
|
|||||||
ENDDRAW
|
ENDDRAW
|
||||||
ENDDEF
|
ENDDEF
|
||||||
#
|
#
|
||||||
# XC9572XL-VQ44
|
# xilinx:XC9572XL-VQ44
|
||||||
#
|
#
|
||||||
DEF XC9572XL-VQ44 U 0 40 Y Y 1 F N
|
DEF xilinx:XC9572XL-VQ44 U 0 40 Y Y 1 F N
|
||||||
F0 "U" 1254 -1370 39 H V C CNN
|
F0 "U" 1254 -1370 39 H V C CNN
|
||||||
F1 "XC9572XL-VQ44" -1139 -1347 39 H V C CNN
|
F1 "xilinx:XC9572XL-VQ44" -1139 -1347 39 H V C CNN
|
||||||
F2 "" -200 -150 60 H I C CNN
|
F2 "" -200 -150 60 H I C CNN
|
||||||
F3 "" -200 -150 60 H I C CNN
|
F3 "" -200 -150 60 H I C CNN
|
||||||
DRAW
|
DRAW
|
||||||
S -1300 1300 1300 -1300 0 1 0 N
|
S -1300 1300 1300 -1300 0 1 0 N
|
||||||
X I/O/GCK3 1 -750 -1500 200 U 50 50 1 1 B
|
X I/O/GCK3 1 -750 -1500 200 U 50 50 1 1 B
|
||||||
|
X TMS 10 600 -1500 200 U 50 50 1 1 B
|
||||||
|
X TCK 11 750 -1500 200 U 50 50 1 1 B
|
||||||
|
X ~ 12 1500 -750 200 L 50 50 1 1 B
|
||||||
|
X ~ 13 1500 -600 200 L 50 50 1 1 B
|
||||||
|
X ~ 14 1500 -450 200 L 50 50 1 1 B
|
||||||
|
X VCC_INT 15 1500 -300 200 L 50 50 1 1 W
|
||||||
|
X ~ 16 1500 -150 200 L 50 50 1 1 B
|
||||||
|
X GND 17 1500 0 200 L 50 50 1 1 W
|
||||||
|
X ~ 18 1500 150 200 L 50 50 1 1 B
|
||||||
|
X ~ 19 1500 300 200 L 50 50 1 1 B
|
||||||
X ~ 2 -600 -1500 200 U 50 50 1 1 B
|
X ~ 2 -600 -1500 200 U 50 50 1 1 B
|
||||||
|
X ~ 20 1500 450 200 L 50 50 1 1 B
|
||||||
|
X ~ 21 1500 600 200 L 50 50 1 1 B
|
||||||
|
X ~ 22 1500 750 200 L 50 50 1 1 B
|
||||||
|
X ~ 23 750 1500 200 D 50 50 1 1 B
|
||||||
|
X TDO 24 600 1500 200 D 50 50 1 1 B
|
||||||
|
X GND 25 450 1500 200 D 50 50 1 1 W
|
||||||
|
X VCC_IO 26 300 1500 200 D 50 50 1 1 W
|
||||||
|
X ~ 27 150 1500 200 D 50 50 1 1 B
|
||||||
|
X ~ 28 0 1500 200 D 50 50 1 1 B
|
||||||
|
X ~ 29 -150 1500 200 D 50 50 1 1 B
|
||||||
X ~ 3 -450 -1500 200 U 50 50 1 1 B
|
X ~ 3 -450 -1500 200 U 50 50 1 1 B
|
||||||
|
X ~ 30 -300 1500 200 D 50 50 1 1 B
|
||||||
|
X ~ 31 -450 1500 200 D 50 50 1 1 B
|
||||||
|
X ~ 32 -600 1500 200 D 50 50 1 1 B
|
||||||
|
X I/O/GSR 33 -750 1500 200 D 50 50 1 1 B
|
||||||
|
X I/O/GTS2 34 -1500 750 200 R 50 50 1 1 B
|
||||||
|
X VCC_INT 35 -1500 600 200 R 50 50 1 1 W
|
||||||
|
X I/O/GTS1 36 -1500 450 200 R 50 50 1 1 B
|
||||||
|
X ~ 37 -1500 300 200 R 50 50 1 1 B
|
||||||
|
X ~ 38 -1500 150 200 R 50 50 1 1 B
|
||||||
|
X ~ 39 -1500 0 200 R 50 50 1 1 B
|
||||||
X GND 4 -300 -1500 200 U 50 50 1 1 W
|
X GND 4 -300 -1500 200 U 50 50 1 1 W
|
||||||
|
X ~ 40 -1500 -150 200 R 50 50 1 1 B
|
||||||
|
X ~ 41 -1500 -300 200 R 50 50 1 1 B
|
||||||
|
X ~ 42 -1500 -450 200 R 50 50 1 1 B
|
||||||
|
X I/O/GCK1 43 -1500 -600 200 R 50 50 1 1 B
|
||||||
|
X I/O/GCK2 44 -1500 -750 200 R 50 50 1 1 B
|
||||||
X ~ 5 -150 -1500 200 U 50 50 1 1 B
|
X ~ 5 -150 -1500 200 U 50 50 1 1 B
|
||||||
X ~ 6 0 -1500 200 U 50 50 1 1 B
|
X ~ 6 0 -1500 200 U 50 50 1 1 B
|
||||||
X ~ 7 150 -1500 200 U 50 50 1 1 B
|
X ~ 7 150 -1500 200 U 50 50 1 1 B
|
||||||
X ~ 8 300 -1500 200 U 50 50 1 1 B
|
X ~ 8 300 -1500 200 U 50 50 1 1 B
|
||||||
X TDI 9 450 -1500 200 U 50 50 1 1 B
|
X TDI 9 450 -1500 200 U 50 50 1 1 B
|
||||||
X TMS 10 600 -1500 200 U 50 50 1 1 B
|
|
||||||
X ~ 20 1500 450 200 L 50 50 1 1 B
|
|
||||||
X ~ 30 -300 1500 200 D 50 50 1 1 B
|
|
||||||
X ~ 40 -1500 -150 200 R 50 50 1 1 B
|
|
||||||
X TCK 11 750 -1500 200 U 50 50 1 1 B
|
|
||||||
X ~ 21 1500 600 200 L 50 50 1 1 B
|
|
||||||
X ~ 31 -450 1500 200 D 50 50 1 1 B
|
|
||||||
X ~ 41 -1500 -300 200 R 50 50 1 1 B
|
|
||||||
X ~ 12 1500 -750 200 L 50 50 1 1 B
|
|
||||||
X ~ 22 1500 750 200 L 50 50 1 1 B
|
|
||||||
X ~ 32 -600 1500 200 D 50 50 1 1 B
|
|
||||||
X ~ 42 -1500 -450 200 R 50 50 1 1 B
|
|
||||||
X ~ 13 1500 -600 200 L 50 50 1 1 B
|
|
||||||
X ~ 23 750 1500 200 D 50 50 1 1 B
|
|
||||||
X I/O/GSR 33 -750 1500 200 D 50 50 1 1 B
|
|
||||||
X I/O/GCK1 43 -1500 -600 200 R 50 50 1 1 B
|
|
||||||
X ~ 14 1500 -450 200 L 50 50 1 1 B
|
|
||||||
X TDO 24 600 1500 200 D 50 50 1 1 B
|
|
||||||
X I/O/GTS2 34 -1500 750 200 R 50 50 1 1 B
|
|
||||||
X I/O/GCK2 44 -1500 -750 200 R 50 50 1 1 B
|
|
||||||
X VCC_INT 15 1500 -300 200 L 50 50 1 1 W
|
|
||||||
X GND 25 450 1500 200 D 50 50 1 1 W
|
|
||||||
X VCC_INT 35 -1500 600 200 R 50 50 1 1 W
|
|
||||||
X ~ 16 1500 -150 200 L 50 50 1 1 B
|
|
||||||
X VCC_IO 26 300 1500 200 D 50 50 1 1 W
|
|
||||||
X I/O/GTS1 36 -1500 450 200 R 50 50 1 1 B
|
|
||||||
X GND 17 1500 0 200 L 50 50 1 1 W
|
|
||||||
X ~ 27 150 1500 200 D 50 50 1 1 B
|
|
||||||
X ~ 37 -1500 300 200 R 50 50 1 1 B
|
|
||||||
X ~ 18 1500 150 200 L 50 50 1 1 B
|
|
||||||
X ~ 28 0 1500 200 D 50 50 1 1 B
|
|
||||||
X ~ 38 -1500 150 200 R 50 50 1 1 B
|
|
||||||
X ~ 19 1500 300 200 L 50 50 1 1 B
|
|
||||||
X ~ 29 -150 1500 200 D 50 50 1 1 B
|
|
||||||
X ~ 39 -1500 0 200 R 50 50 1 1 B
|
|
||||||
ENDDRAW
|
ENDDRAW
|
||||||
ENDDEF
|
ENDDEF
|
||||||
#
|
#
|
||||||
|
File diff suppressed because it is too large
Load Diff
@ -1,4 +1,4 @@
|
|||||||
update=2018-05-19T16:41:18 CEST
|
update=Do 27 Sep 2018 15:26:18 CEST
|
||||||
version=1
|
version=1
|
||||||
last_client=kicad
|
last_client=kicad
|
||||||
[pcbnew]
|
[pcbnew]
|
||||||
@ -28,36 +28,3 @@ version=1
|
|||||||
[eeschema]
|
[eeschema]
|
||||||
version=1
|
version=1
|
||||||
LibDir=
|
LibDir=
|
||||||
[eeschema/libraries]
|
|
||||||
LibName1=power
|
|
||||||
LibName2=device
|
|
||||||
LibName3=switches
|
|
||||||
LibName4=relays
|
|
||||||
LibName5=motors
|
|
||||||
LibName6=transistors
|
|
||||||
LibName7=conn
|
|
||||||
LibName8=linear
|
|
||||||
LibName9=regul
|
|
||||||
LibName10=74xx
|
|
||||||
LibName11=cmos4000
|
|
||||||
LibName12=adc-dac
|
|
||||||
LibName13=memory
|
|
||||||
LibName14=xilinx
|
|
||||||
LibName15=microcontrollers
|
|
||||||
LibName16=dsp
|
|
||||||
LibName17=microchip
|
|
||||||
LibName18=analog_switches
|
|
||||||
LibName19=motorola
|
|
||||||
LibName20=texas
|
|
||||||
LibName21=intel
|
|
||||||
LibName22=audio
|
|
||||||
LibName23=interface
|
|
||||||
LibName24=digital-audio
|
|
||||||
LibName25=philips
|
|
||||||
LibName26=display
|
|
||||||
LibName27=cypress
|
|
||||||
LibName28=siliconi
|
|
||||||
LibName29=opto
|
|
||||||
LibName30=atmel
|
|
||||||
LibName31=contrib
|
|
||||||
LibName32=valves
|
|
||||||
|
@ -1,38 +1,6 @@
|
|||||||
EESchema Schematic File Version 2
|
EESchema Schematic File Version 4
|
||||||
LIBS:power
|
|
||||||
LIBS:device
|
|
||||||
LIBS:switches
|
|
||||||
LIBS:relays
|
|
||||||
LIBS:motors
|
|
||||||
LIBS:transistors
|
|
||||||
LIBS:conn
|
|
||||||
LIBS:linear
|
|
||||||
LIBS:regul
|
|
||||||
LIBS:74xx
|
|
||||||
LIBS:cmos4000
|
|
||||||
LIBS:adc-dac
|
|
||||||
LIBS:memory
|
|
||||||
LIBS:xilinx
|
|
||||||
LIBS:microcontrollers
|
|
||||||
LIBS:dsp
|
|
||||||
LIBS:microchip
|
|
||||||
LIBS:analog_switches
|
|
||||||
LIBS:motorola
|
|
||||||
LIBS:texas
|
|
||||||
LIBS:intel
|
|
||||||
LIBS:audio
|
|
||||||
LIBS:interface
|
|
||||||
LIBS:digital-audio
|
|
||||||
LIBS:philips
|
|
||||||
LIBS:display
|
|
||||||
LIBS:cypress
|
|
||||||
LIBS:siliconi
|
|
||||||
LIBS:opto
|
|
||||||
LIBS:atmel
|
|
||||||
LIBS:contrib
|
|
||||||
LIBS:valves
|
|
||||||
LIBS:alu-cache
|
LIBS:alu-cache
|
||||||
EELAYER 25 0
|
EELAYER 26 0
|
||||||
EELAYER END
|
EELAYER END
|
||||||
$Descr A3 16535 11693
|
$Descr A3 16535 11693
|
||||||
encoding utf-8
|
encoding utf-8
|
||||||
@ -47,139 +15,292 @@ Comment3 ""
|
|||||||
Comment4 ""
|
Comment4 ""
|
||||||
$EndDescr
|
$EndDescr
|
||||||
$Comp
|
$Comp
|
||||||
L Conn_01x16 J4
|
L alu-rescue:Conn_01x16 J4
|
||||||
U 1 1 5AEB13AD
|
U 1 1 5AEB13AD
|
||||||
P 12050 4800
|
P 12050 4800
|
||||||
F 0 "J4" H 12050 5600 50 0000 C CNN
|
F 0 "J4" H 12050 5600 50 0000 C CNN
|
||||||
F 1 "Conn_01x16" H 12050 3900 50 0000 C CNN
|
F 1 "Conn_01x16" H 12050 3900 50 0000 C CNN
|
||||||
F 2 "Pin_Headers:Pin_Header_Straight_1x16_Pitch2.54mm" H 12050 4800 50 0001 C CNN
|
F 2 "Pin_Headers:Pin_Header_Straight_1x16" H 12050 4800 50 0001 C CNN
|
||||||
F 3 "" H 12050 4800 50 0001 C CNN
|
F 3 "" H 12050 4800 50 0001 C CNN
|
||||||
1 12050 4800
|
1 12050 4800
|
||||||
1 0 0 -1
|
1 0 0 -1
|
||||||
$EndComp
|
$EndComp
|
||||||
$Comp
|
$Comp
|
||||||
L Conn_01x16 J3
|
L alu-rescue:Conn_01x16 J3
|
||||||
U 1 1 5AEB13CF
|
U 1 1 5AEB13CF
|
||||||
P 2600 6900
|
P 2600 6900
|
||||||
F 0 "J3" H 2600 7700 50 0000 C CNN
|
F 0 "J3" H 2600 7700 50 0000 C CNN
|
||||||
F 1 "Conn_01x16" H 2600 6000 50 0000 C CNN
|
F 1 "Conn_01x16" H 2600 6000 50 0000 C CNN
|
||||||
F 2 "Pin_Headers:Pin_Header_Straight_1x16_Pitch2.54mm" H 2600 6900 50 0001 C CNN
|
F 2 "Pin_Headers:Pin_Header_Straight_1x16" H 2600 6900 50 0001 C CNN
|
||||||
F 3 "" H 2600 6900 50 0001 C CNN
|
F 3 "" H 2600 6900 50 0001 C CNN
|
||||||
1 2600 6900
|
1 2600 6900
|
||||||
-1 0 0 1
|
-1 0 0 1
|
||||||
$EndComp
|
$EndComp
|
||||||
$Comp
|
$Comp
|
||||||
L Conn_01x16 J5
|
L alu-rescue:Conn_01x16 J5
|
||||||
U 1 1 5AEB143D
|
U 1 1 5AEB143D
|
||||||
P 2600 4300
|
P 2600 4300
|
||||||
F 0 "J5" H 2600 5100 50 0000 C CNN
|
F 0 "J5" H 2600 5100 50 0000 C CNN
|
||||||
F 1 "Conn_01x16" H 2600 3400 50 0000 C CNN
|
F 1 "Conn_01x16" H 2600 3400 50 0000 C CNN
|
||||||
F 2 "Pin_Headers:Pin_Header_Straight_1x16_Pitch2.54mm" H 2600 4300 50 0001 C CNN
|
F 2 "Pin_Headers:Pin_Header_Straight_1x16" H 2600 4300 50 0001 C CNN
|
||||||
F 3 "" H 2600 4300 50 0001 C CNN
|
F 3 "" H 2600 4300 50 0001 C CNN
|
||||||
1 2600 4300
|
1 2600 4300
|
||||||
-1 0 0 1
|
-1 0 0 1
|
||||||
$EndComp
|
$EndComp
|
||||||
$Comp
|
$Comp
|
||||||
L Conn_01x02 J2
|
L alu-rescue:Conn_01x02 J2
|
||||||
U 1 1 5AEB171D
|
U 1 1 5AEB171D
|
||||||
P 3950 2400
|
P 3300 2400
|
||||||
F 0 "J2" H 3950 2500 50 0000 C CNN
|
F 0 "J2" H 3300 2500 50 0000 C CNN
|
||||||
F 1 "Conn_01x02" H 3950 2200 50 0000 C CNN
|
F 1 "Conn_01x02" H 3300 2200 50 0000 C CNN
|
||||||
F 2 "Pin_Headers:Pin_Header_Straight_1x02_Pitch2.54mm" H 3950 2400 50 0001 C CNN
|
F 2 "Pin_Headers:Pin_Header_Straight_1x02" H 3300 2400 50 0001 C CNN
|
||||||
F 3 "" H 3950 2400 50 0001 C CNN
|
F 3 "" H 3300 2400 50 0001 C CNN
|
||||||
1 3950 2400
|
1 3300 2400
|
||||||
-1 0 0 1
|
-1 0 0 1
|
||||||
$EndComp
|
$EndComp
|
||||||
$Comp
|
$Comp
|
||||||
L Conn_01x04 J1
|
L alu-rescue:Conn_01x04 J1
|
||||||
U 1 1 5AEB1744
|
U 1 1 5AEB1744
|
||||||
P 8350 9350
|
P 8350 9350
|
||||||
F 0 "J1" H 8350 9550 50 0000 C CNN
|
F 0 "J1" H 8350 9550 50 0000 C CNN
|
||||||
F 1 "Conn_01x04" H 8350 9050 50 0000 C CNN
|
F 1 "Conn_01x04" H 8350 9050 50 0000 C CNN
|
||||||
F 2 "Pin_Headers:Pin_Header_Straight_1x04_Pitch2.54mm" H 8350 9350 50 0001 C CNN
|
F 2 "Pin_Headers:Pin_Header_Straight_1x04" H 8350 9350 50 0001 C CNN
|
||||||
F 3 "" H 8350 9350 50 0001 C CNN
|
F 3 "" H 8350 9350 50 0001 C CNN
|
||||||
1 8350 9350
|
1 8350 9350
|
||||||
0 1 1 0
|
0 1 1 0
|
||||||
$EndComp
|
$EndComp
|
||||||
$Comp
|
$Comp
|
||||||
L GND #PWR01
|
L power:GND #PWR01
|
||||||
U 1 1 5AEB5098
|
U 1 1 5AEB5098
|
||||||
P 4550 2150
|
P 4500 2300
|
||||||
F 0 "#PWR01" H 4550 1900 50 0001 C CNN
|
F 0 "#PWR01" H 4500 2050 50 0001 C CNN
|
||||||
F 1 "GND" H 4550 2000 50 0000 C CNN
|
F 1 "GND" H 4500 2150 50 0000 C CNN
|
||||||
F 2 "" H 4550 2150 50 0001 C CNN
|
F 2 "" H 4500 2300 50 0001 C CNN
|
||||||
F 3 "" H 4550 2150 50 0001 C CNN
|
F 3 "" H 4500 2300 50 0001 C CNN
|
||||||
1 4550 2150
|
1 4500 2300
|
||||||
-1 0 0 1
|
-1 0 0 1
|
||||||
$EndComp
|
$EndComp
|
||||||
$Comp
|
$Comp
|
||||||
L VCC #PWR02
|
L power:VCC #PWR02
|
||||||
U 1 1 5AEB50BA
|
U 1 1 5AEB50BA
|
||||||
P 4450 2950
|
P 4800 2600
|
||||||
F 0 "#PWR02" H 4450 2800 50 0001 C CNN
|
F 0 "#PWR02" H 4800 2450 50 0001 C CNN
|
||||||
F 1 "VCC" H 4450 3100 50 0000 C CNN
|
F 1 "VCC" H 4800 2750 50 0000 C CNN
|
||||||
F 2 "" H 4450 2950 50 0001 C CNN
|
F 2 "" H 4800 2600 50 0001 C CNN
|
||||||
F 3 "" H 4450 2950 50 0001 C CNN
|
F 3 "" H 4800 2600 50 0001 C CNN
|
||||||
1 4450 2950
|
1 4800 2600
|
||||||
0 1 1 0
|
0 1 1 0
|
||||||
$EndComp
|
$EndComp
|
||||||
$Comp
|
|
||||||
L PWR_FLAG #FLG03
|
|
||||||
U 1 1 5AEB6211
|
|
||||||
P 4550 2300
|
|
||||||
F 0 "#FLG03" H 4550 2375 50 0001 C CNN
|
|
||||||
F 1 "PWR_FLAG" H 4550 2450 50 0000 C CNN
|
|
||||||
F 2 "" H 4550 2300 50 0001 C CNN
|
|
||||||
F 3 "" H 4550 2300 50 0001 C CNN
|
|
||||||
1 4550 2300
|
|
||||||
-1 0 0 1
|
|
||||||
$EndComp
|
|
||||||
$Comp
|
|
||||||
L PWR_FLAG #FLG04
|
|
||||||
U 1 1 5AEB6233
|
|
||||||
P 4150 2950
|
|
||||||
F 0 "#FLG04" H 4150 3025 50 0001 C CNN
|
|
||||||
F 1 "PWR_FLAG" H 4150 3100 50 0000 C CNN
|
|
||||||
F 2 "" H 4150 2950 50 0001 C CNN
|
|
||||||
F 3 "" H 4150 2950 50 0001 C CNN
|
|
||||||
1 4150 2950
|
|
||||||
0 -1 -1 0
|
|
||||||
$EndComp
|
|
||||||
NoConn ~ 7400 8600
|
|
||||||
NoConn ~ 6950 8600
|
NoConn ~ 6950 8600
|
||||||
NoConn ~ 6200 7850
|
|
||||||
NoConn ~ 6200 7700
|
|
||||||
NoConn ~ 6200 7250
|
|
||||||
NoConn ~ 6200 7100
|
|
||||||
NoConn ~ 6200 6650
|
NoConn ~ 6200 6650
|
||||||
NoConn ~ 6200 6350
|
NoConn ~ 6200 6350
|
||||||
NoConn ~ 6350 4050
|
|
||||||
NoConn ~ 6350 3900
|
|
||||||
NoConn ~ 6350 2850
|
NoConn ~ 6350 2850
|
||||||
NoConn ~ 6350 2550
|
NoConn ~ 6350 2550
|
||||||
NoConn ~ 7100 1800
|
NoConn ~ 7100 1800
|
||||||
NoConn ~ 8150 1800
|
|
||||||
NoConn ~ 8600 1800
|
NoConn ~ 8600 1800
|
||||||
NoConn ~ 9350 3300
|
|
||||||
NoConn ~ 9350 3600
|
|
||||||
NoConn ~ 9350 4050
|
NoConn ~ 9350 4050
|
||||||
NoConn ~ 9200 6350
|
NoConn ~ 9200 6350
|
||||||
NoConn ~ 9200 7100
|
|
||||||
NoConn ~ 9200 7400
|
|
||||||
NoConn ~ 8000 5600
|
|
||||||
NoConn ~ 8450 5600
|
NoConn ~ 8450 5600
|
||||||
NoConn ~ 6950 5600
|
$Comp
|
||||||
NoConn ~ 7100 4800
|
L xilinx:XC9572XL-VQ44 U1
|
||||||
NoConn ~ 7250 4800
|
U 1 1 5B07240B
|
||||||
NoConn ~ 7400 4800
|
P 7850 3300
|
||||||
NoConn ~ 7550 4800
|
F 0 "U1" H 9104 1930 39 0000 C CNN
|
||||||
|
F 1 "XC9572XL-VQ44" H 6711 1953 39 0000 C CNN
|
||||||
|
F 2 "footprints:XC9572XL-VQ44" H 7650 3150 60 0001 C CNN
|
||||||
|
F 3 "" H 7650 3150 60 0001 C CNN
|
||||||
|
1 7850 3300
|
||||||
|
1 0 0 -1
|
||||||
|
$EndComp
|
||||||
|
$Comp
|
||||||
|
L xilinx:XC9572XL-VQ44 U2
|
||||||
|
U 1 1 5B07250D
|
||||||
|
P 7700 7100
|
||||||
|
F 0 "U2" H 8954 5730 39 0000 C CNN
|
||||||
|
F 1 "XC9572XL-VQ44" H 6561 5753 39 0000 C CNN
|
||||||
|
F 2 "footprints:XC9572XL-VQ44" H 7500 6950 60 0001 C CNN
|
||||||
|
F 3 "" H 7500 6950 60 0001 C CNN
|
||||||
|
1 7700 7100
|
||||||
|
1 0 0 -1
|
||||||
|
$EndComp
|
||||||
|
$Comp
|
||||||
|
L alu-rescue:AP1117D33 U3
|
||||||
|
U 1 1 5B2BB004
|
||||||
|
P 4500 2600
|
||||||
|
F 0 "U3" H 4600 2350 50 0000 C CNN
|
||||||
|
F 1 "AP1117D33" H 4500 2850 50 0000 C CNN
|
||||||
|
F 2 "TO_SOT_Packages_SMD:SOT-223" H 4450 3050 50 0001 C CNN
|
||||||
|
F 3 "" H 4500 2600 50 0000 C CNN
|
||||||
|
1 4500 2600
|
||||||
|
1 0 0 1
|
||||||
|
$EndComp
|
||||||
|
$Comp
|
||||||
|
L power:VCC #PWR03
|
||||||
|
U 1 1 5B2BB87A
|
||||||
|
P 8150 1800
|
||||||
|
F 0 "#PWR03" H 8150 1650 50 0001 C CNN
|
||||||
|
F 1 "VCC" H 8150 1950 50 0000 C CNN
|
||||||
|
F 2 "" H 8150 1800 50 0000 C CNN
|
||||||
|
F 3 "" H 8150 1800 50 0000 C CNN
|
||||||
|
1 8150 1800
|
||||||
|
1 0 0 -1
|
||||||
|
$EndComp
|
||||||
|
$Comp
|
||||||
|
L power:VCC #PWR04
|
||||||
|
U 1 1 5B2BB938
|
||||||
|
P 6350 2700
|
||||||
|
F 0 "#PWR04" H 6350 2550 50 0001 C CNN
|
||||||
|
F 1 "VCC" H 6350 2850 50 0000 C CNN
|
||||||
|
F 2 "" H 6350 2700 50 0000 C CNN
|
||||||
|
F 3 "" H 6350 2700 50 0000 C CNN
|
||||||
|
1 6350 2700
|
||||||
|
0 -1 -1 0
|
||||||
|
$EndComp
|
||||||
|
$Comp
|
||||||
|
L power:VCC #PWR05
|
||||||
|
U 1 1 5B2BBA36
|
||||||
|
P 6200 6500
|
||||||
|
F 0 "#PWR05" H 6200 6350 50 0001 C CNN
|
||||||
|
F 1 "VCC" H 6200 6650 50 0000 C CNN
|
||||||
|
F 2 "" H 6200 6500 50 0000 C CNN
|
||||||
|
F 3 "" H 6200 6500 50 0000 C CNN
|
||||||
|
1 6200 6500
|
||||||
|
0 -1 -1 0
|
||||||
|
$EndComp
|
||||||
|
$Comp
|
||||||
|
L power:VCC #PWR06
|
||||||
|
U 1 1 5B2BBA6C
|
||||||
|
P 8000 5600
|
||||||
|
F 0 "#PWR06" H 8000 5450 50 0001 C CNN
|
||||||
|
F 1 "VCC" H 8000 5750 50 0000 C CNN
|
||||||
|
F 2 "" H 8000 5600 50 0000 C CNN
|
||||||
|
F 3 "" H 8000 5600 50 0000 C CNN
|
||||||
|
1 8000 5600
|
||||||
|
1 0 0 -1
|
||||||
|
$EndComp
|
||||||
|
$Comp
|
||||||
|
L power:PWR_FLAG #FLG07
|
||||||
|
U 1 1 5B2BBD33
|
||||||
|
P 3600 2450
|
||||||
|
F 0 "#FLG07" H 3600 2545 50 0001 C CNN
|
||||||
|
F 1 "PWR_FLAG" H 3600 2630 50 0000 C CNN
|
||||||
|
F 2 "" H 3600 2450 50 0000 C CNN
|
||||||
|
F 3 "" H 3600 2450 50 0000 C CNN
|
||||||
|
1 3600 2450
|
||||||
|
-1 0 0 1
|
||||||
|
$EndComp
|
||||||
|
$Comp
|
||||||
|
L power:GND #PWR08
|
||||||
|
U 1 1 5B2BBFFE
|
||||||
|
P 8300 1800
|
||||||
|
F 0 "#PWR08" H 8300 1550 50 0001 C CNN
|
||||||
|
F 1 "GND" H 8300 1650 50 0000 C CNN
|
||||||
|
F 2 "" H 8300 1800 50 0000 C CNN
|
||||||
|
F 3 "" H 8300 1800 50 0000 C CNN
|
||||||
|
1 8300 1800
|
||||||
|
-1 0 0 1
|
||||||
|
$EndComp
|
||||||
|
$Comp
|
||||||
|
L power:GND #PWR09
|
||||||
|
U 1 1 5B2BC054
|
||||||
|
P 8150 5600
|
||||||
|
F 0 "#PWR09" H 8150 5350 50 0001 C CNN
|
||||||
|
F 1 "GND" H 8150 5450 50 0000 C CNN
|
||||||
|
F 2 "" H 8150 5600 50 0000 C CNN
|
||||||
|
F 3 "" H 8150 5600 50 0000 C CNN
|
||||||
|
1 8150 5600
|
||||||
|
-1 0 0 1
|
||||||
|
$EndComp
|
||||||
|
$Comp
|
||||||
|
L power:PWR_FLAG #FLG010
|
||||||
|
U 1 1 5B2BC373
|
||||||
|
P 3600 2250
|
||||||
|
F 0 "#FLG010" H 3600 2345 50 0001 C CNN
|
||||||
|
F 1 "PWR_FLAG" H 3600 2430 50 0000 C CNN
|
||||||
|
F 2 "" H 3600 2250 50 0000 C CNN
|
||||||
|
F 3 "" H 3600 2250 50 0000 C CNN
|
||||||
|
1 3600 2250
|
||||||
|
1 0 0 -1
|
||||||
|
$EndComp
|
||||||
|
$Comp
|
||||||
|
L power:GND #PWR011
|
||||||
|
U 1 1 5B2BCDC3
|
||||||
|
P 9200 7100
|
||||||
|
F 0 "#PWR011" H 9200 6850 50 0001 C CNN
|
||||||
|
F 1 "GND" H 9200 6950 50 0000 C CNN
|
||||||
|
F 2 "" H 9200 7100 50 0000 C CNN
|
||||||
|
F 3 "" H 9200 7100 50 0000 C CNN
|
||||||
|
1 9200 7100
|
||||||
|
0 -1 -1 0
|
||||||
|
$EndComp
|
||||||
|
$Comp
|
||||||
|
L power:GND #PWR012
|
||||||
|
U 1 1 5B2BCEE1
|
||||||
|
P 9350 3300
|
||||||
|
F 0 "#PWR012" H 9350 3050 50 0001 C CNN
|
||||||
|
F 1 "GND" H 9350 3150 50 0000 C CNN
|
||||||
|
F 2 "" H 9350 3300 50 0000 C CNN
|
||||||
|
F 3 "" H 9350 3300 50 0000 C CNN
|
||||||
|
1 9350 3300
|
||||||
|
0 -1 -1 0
|
||||||
|
$EndComp
|
||||||
|
$Comp
|
||||||
|
L power:GND #PWR013
|
||||||
|
U 1 1 5B2BD11F
|
||||||
|
P 7400 8600
|
||||||
|
F 0 "#PWR013" H 7400 8350 50 0001 C CNN
|
||||||
|
F 1 "GND" H 7400 8450 50 0000 C CNN
|
||||||
|
F 2 "" H 7400 8600 50 0000 C CNN
|
||||||
|
F 3 "" H 7400 8600 50 0000 C CNN
|
||||||
|
1 7400 8600
|
||||||
|
1 0 0 -1
|
||||||
|
$EndComp
|
||||||
|
$Comp
|
||||||
|
L power:GND #PWR014
|
||||||
|
U 1 1 5B2BD205
|
||||||
|
P 7550 4800
|
||||||
|
F 0 "#PWR014" H 7550 4550 50 0001 C CNN
|
||||||
|
F 1 "GND" H 7550 4650 50 0000 C CNN
|
||||||
|
F 2 "" H 7550 4800 50 0000 C CNN
|
||||||
|
F 3 "" H 7550 4800 50 0000 C CNN
|
||||||
|
1 7550 4800
|
||||||
|
1 0 0 -1
|
||||||
|
$EndComp
|
||||||
|
$Comp
|
||||||
|
L power:VCC #PWR015
|
||||||
|
U 1 1 5B2BD49C
|
||||||
|
P 9350 3600
|
||||||
|
F 0 "#PWR015" H 9350 3450 50 0001 C CNN
|
||||||
|
F 1 "VCC" H 9350 3750 50 0000 C CNN
|
||||||
|
F 2 "" H 9350 3600 50 0000 C CNN
|
||||||
|
F 3 "" H 9350 3600 50 0000 C CNN
|
||||||
|
1 9350 3600
|
||||||
|
0 1 1 0
|
||||||
|
$EndComp
|
||||||
|
$Comp
|
||||||
|
L power:VCC #PWR016
|
||||||
|
U 1 1 5B2BD61A
|
||||||
|
P 9200 7400
|
||||||
|
F 0 "#PWR016" H 9200 7250 50 0001 C CNN
|
||||||
|
F 1 "VCC" H 9200 7550 50 0000 C CNN
|
||||||
|
F 2 "" H 9200 7400 50 0000 C CNN
|
||||||
|
F 3 "" H 9200 7400 50 0000 C CNN
|
||||||
|
1 9200 7400
|
||||||
|
0 1 1 0
|
||||||
|
$EndComp
|
||||||
|
$Comp
|
||||||
|
L alu-rescue:Conn_01x04 J6
|
||||||
|
U 1 1 5B2BF128
|
||||||
|
P 5100 6100
|
||||||
|
F 0 "J6" H 5100 6300 50 0000 C CNN
|
||||||
|
F 1 "Conn_01x04" H 5100 5800 50 0000 C CNN
|
||||||
|
F 2 "Pin_Headers:Pin_Header_Straight_1x04" H 5100 6100 50 0001 C CNN
|
||||||
|
F 3 "" H 5100 6100 50 0001 C CNN
|
||||||
|
1 5100 6100
|
||||||
|
-1 0 0 -1
|
||||||
|
$EndComp
|
||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
4150 2300 5400 2300
|
3500 2300 3600 2300
|
||||||
Wire Wire Line
|
|
||||||
4550 2300 4550 2150
|
|
||||||
Connection ~ 4550 2300
|
|
||||||
Wire Wire Line
|
|
||||||
4450 2950 4150 2950
|
|
||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
8300 4800 8300 5600
|
8300 4800 8300 5600
|
||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
@ -187,13 +308,13 @@ Wire Wire Line
|
|||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
8250 9050 8250 9150
|
8250 9050 8250 9150
|
||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
8250 9050 10100 9050
|
8250 9050 8300 9050
|
||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
8300 8600 8300 9050
|
8300 8600 8300 9050
|
||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
8350 9150 8350 9100
|
8350 9150 8350 9100
|
||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
8350 9100 10150 9100
|
8350 9100 8450 9100
|
||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
8450 9100 8450 8600
|
8450 9100 8450 8600
|
||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
@ -218,22 +339,6 @@ Wire Wire Line
|
|||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
10100 5000 10100 9050
|
10100 5000 10100 9050
|
||||||
Connection ~ 8300 9050
|
Connection ~ 8300 9050
|
||||||
Wire Wire Line
|
|
||||||
5400 1300 5400 5275
|
|
||||||
Wire Wire Line
|
|
||||||
5400 1300 8300 1300
|
|
||||||
Wire Wire Line
|
|
||||||
8300 1300 8300 1800
|
|
||||||
Wire Wire Line
|
|
||||||
5400 5275 8150 5275
|
|
||||||
Connection ~ 5400 2300
|
|
||||||
Wire Wire Line
|
|
||||||
4150 3100 5450 3100
|
|
||||||
Wire Wire Line
|
|
||||||
5450 2700 5450 6500
|
|
||||||
Wire Wire Line
|
|
||||||
5450 2700 6350 2700
|
|
||||||
Connection ~ 5450 3100
|
|
||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
2800 7600 2950 7600
|
2800 7600 2950 7600
|
||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
@ -284,14 +389,6 @@ Wire Wire Line
|
|||||||
3200 7100 2800 7100
|
3200 7100 2800 7100
|
||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
2800 7000 3250 7000
|
2800 7000 3250 7000
|
||||||
Wire Wire Line
|
|
||||||
3250 7000 3250 7550
|
|
||||||
Wire Wire Line
|
|
||||||
3250 7550 6200 7550
|
|
||||||
Wire Wire Line
|
|
||||||
6200 7400 3300 7400
|
|
||||||
Wire Wire Line
|
|
||||||
3300 7400 3300 6900
|
|
||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
3300 6900 2800 6900
|
3300 6900 2800 6900
|
||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
@ -418,10 +515,6 @@ Wire Wire Line
|
|||||||
4175 3300 6350 3300
|
4175 3300 6350 3300
|
||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
4300 6200 4300 3450
|
4300 6200 4300 3450
|
||||||
Wire Wire Line
|
|
||||||
4300 3450 6350 3450
|
|
||||||
Wire Wire Line
|
|
||||||
6275 3450 6275 3450
|
|
||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
4425 3600 6350 3600
|
4425 3600 6350 3600
|
||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
@ -565,32 +658,85 @@ Wire Wire Line
|
|||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
8150 5200 8150 4800
|
8150 5200 8150 4800
|
||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
8150 5275 8150 5600
|
3500 2400 3600 2400
|
||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
5450 6500 6200 6500
|
4200 2400 4200 2600
|
||||||
Wire Wire Line
|
Wire Wire Line
|
||||||
4150 2400 4150 3100
|
3600 2300 3600 2250
|
||||||
Connection ~ 4150 2950
|
Connection ~ 3600 2300
|
||||||
$Comp
|
Wire Wire Line
|
||||||
L XC9572XL-VQ44 U1
|
3600 2450 3600 2400
|
||||||
U 1 1 5B07240B
|
Connection ~ 3600 2400
|
||||||
P 7850 3300
|
Wire Wire Line
|
||||||
F 0 "U1" H 9104 1930 39 0000 C CNN
|
7400 4800 7400 5000
|
||||||
F 1 "XC9572XL-VQ44" H 6711 1953 39 0000 C CNN
|
Wire Wire Line
|
||||||
F 2 "footprints:XC9572XL-VQ44" H 7650 3150 60 0001 C CNN
|
7400 5000 6950 5000
|
||||||
F 3 "" H 7650 3150 60 0001 C CNN
|
Wire Wire Line
|
||||||
1 7850 3300
|
6950 5000 6950 5600
|
||||||
1 0 0 -1
|
Wire Wire Line
|
||||||
$EndComp
|
6200 7100 3300 7100
|
||||||
$Comp
|
Wire Wire Line
|
||||||
L XC9572XL-VQ44 U2
|
6200 7250 3250 7250
|
||||||
U 1 1 5B07250D
|
Wire Wire Line
|
||||||
P 7700 7100
|
3250 7250 3250 7000
|
||||||
F 0 "U2" H 8954 5730 39 0000 C CNN
|
Wire Wire Line
|
||||||
F 1 "XC9572XL-VQ44" H 6561 5753 39 0000 C CNN
|
3300 7100 3300 6900
|
||||||
F 2 "footprints:XC9572XL-VQ44" H 7500 6950 60 0001 C CNN
|
Wire Wire Line
|
||||||
F 3 "" H 7500 6950 60 0001 C CNN
|
5300 6300 5850 6300
|
||||||
1 7700 7100
|
Wire Wire Line
|
||||||
1 0 0 -1
|
5850 4950 5850 6300
|
||||||
$EndComp
|
Wire Wire Line
|
||||||
|
5850 4950 7250 4950
|
||||||
|
Wire Wire Line
|
||||||
|
7250 4950 7250 4800
|
||||||
|
Wire Wire Line
|
||||||
|
5300 6200 5800 6200
|
||||||
|
Wire Wire Line
|
||||||
|
5800 4850 5800 6200
|
||||||
|
Wire Wire Line
|
||||||
|
5800 4850 7100 4850
|
||||||
|
Wire Wire Line
|
||||||
|
7100 4850 7100 4800
|
||||||
|
Wire Wire Line
|
||||||
|
5300 6100 5750 6100
|
||||||
|
Wire Wire Line
|
||||||
|
5750 4050 5750 6100
|
||||||
|
Wire Wire Line
|
||||||
|
5750 4050 6350 4050
|
||||||
|
Wire Wire Line
|
||||||
|
5300 6000 5700 6000
|
||||||
|
Wire Wire Line
|
||||||
|
5700 3900 5700 6000
|
||||||
|
Wire Wire Line
|
||||||
|
5700 3900 6350 3900
|
||||||
|
Wire Wire Line
|
||||||
|
5850 7400 6200 7400
|
||||||
|
Connection ~ 5850 6300
|
||||||
|
Wire Wire Line
|
||||||
|
5800 7550 6200 7550
|
||||||
|
Connection ~ 5800 6200
|
||||||
|
Wire Wire Line
|
||||||
|
5750 7700 6200 7700
|
||||||
|
Connection ~ 5750 6100
|
||||||
|
Wire Wire Line
|
||||||
|
5700 7850 6200 7850
|
||||||
|
Connection ~ 5700 6000
|
||||||
|
Wire Wire Line
|
||||||
|
8450 9100 10150 9100
|
||||||
|
Wire Wire Line
|
||||||
|
8300 9050 10100 9050
|
||||||
|
Wire Wire Line
|
||||||
|
3600 2300 4500 2300
|
||||||
|
Wire Wire Line
|
||||||
|
3600 2400 4200 2400
|
||||||
|
Wire Wire Line
|
||||||
|
5850 6300 5850 7400
|
||||||
|
Wire Wire Line
|
||||||
|
5800 6200 5800 7550
|
||||||
|
Wire Wire Line
|
||||||
|
5750 6100 5750 7700
|
||||||
|
Wire Wire Line
|
||||||
|
5700 6000 5700 7850
|
||||||
|
Wire Wire Line
|
||||||
|
4300 3450 6350 3450
|
||||||
$EndSCHEMATC
|
$EndSCHEMATC
|
||||||
|
@ -1,3 +1,4 @@
|
|||||||
(fp_lib_table
|
(fp_lib_table
|
||||||
(lib (name footprints)(type KiCad)(uri /home/lena/hwlab/kicad-libs/footprints)(options "")(descr ""))
|
(lib (name footprints)(type KiCad)(uri /home/lena/hwlab/kicad-libs/footprints)(options "")(descr ""))
|
||||||
|
(lib (name tinyfp)(type KiCad)(uri /u/mai11bqv/repos/band/tinyfinger/board/tinyfp.pretty)(options "")(descr ""))
|
||||||
)
|
)
|
||||||
|
4
boards/alu/sym-lib-table
Normal file
4
boards/alu/sym-lib-table
Normal file
@ -0,0 +1,4 @@
|
|||||||
|
(sym_lib_table
|
||||||
|
(lib (name alu-rescue)(type Legacy)(uri ${KIPRJMOD}/alu-rescue.lib)(options "")(descr ""))
|
||||||
|
(lib (name xilinx)(type Legacy)(uri ${KIPRJMOD}/xilinx.lib)(options "")(descr ""))
|
||||||
|
)
|
44
doc/ALU-Pins.md
Normal file
44
doc/ALU-Pins.md
Normal file
@ -0,0 +1,44 @@
|
|||||||
|
# ALU Pins
|
||||||
|
|
||||||
|
## CPLD1
|
||||||
|
|
||||||
|
> Das *Obere*.
|
||||||
|
|
||||||
|
| Nr. | ACC | RAM | Y (Result) |
|
||||||
|
|:---:|:----:|:----:|:----:|
|
||||||
|
| 0 | 27 | 39 | 22 |
|
||||||
|
| 1 | 28 | 40 | 21 |
|
||||||
|
| 2 | 29 | 41 | 20 |
|
||||||
|
| 3 | 30 | 42 | 19 |
|
||||||
|
| 4 | 31 | 5 | 18 |
|
||||||
|
| 5 | 32 | 6 | 16 |
|
||||||
|
| 6 | 37 | 7 | 14 |
|
||||||
|
| 7 | 38 | 8 | 13 |
|
||||||
|
|
||||||
|
**CO: Pin 3** (Carry Out)
|
||||||
|
|
||||||
|
## CPLD2
|
||||||
|
|
||||||
|
> Das *Untere*.
|
||||||
|
|
||||||
|
| Nr. | ACC | RAM | Y (Result) |
|
||||||
|
|:---:|:----:|:----:|:----:|
|
||||||
|
| 8 | 27 | 39 | 21 |
|
||||||
|
| 9 | 28 | 40 | 20 |
|
||||||
|
| A | 29 | 2 | 19 |
|
||||||
|
| B | 30 | 3 | 18 |
|
||||||
|
| C | 31 | 5 | 16 |
|
||||||
|
| D | 32 | 6 | 14 |
|
||||||
|
| E | 37 | 7 | 13 |
|
||||||
|
| F | 38 | 8 | 12 |
|
||||||
|
|
||||||
|
**CI: Pin 33** (Carry In)
|
||||||
|
|
||||||
|
## Funktion Pins
|
||||||
|
|
||||||
|
| F | CPLD1 | CPLD2 |
|
||||||
|
|:-:|:-----:|:-----:|
|
||||||
|
| 0 | 43 | 44 |
|
||||||
|
| 1 | 44 | 43 |
|
||||||
|
| 2 | 1 | 42 |
|
||||||
|
| 3 | 2 | 41 |
|
3
firmware/alu/.gitignore
vendored
3
firmware/alu/.gitignore
vendored
@ -87,6 +87,7 @@ xilinxsim.ini
|
|||||||
|
|
||||||
# cpld
|
# cpld
|
||||||
/main_html/
|
/main_html/
|
||||||
|
*_html/
|
||||||
*.gyd
|
*.gyd
|
||||||
*.jed
|
*.jed
|
||||||
*.mfd
|
*.mfd
|
||||||
@ -96,4 +97,6 @@ xilinxsim.ini
|
|||||||
*.vm6
|
*.vm6
|
||||||
*.xml
|
*.xml
|
||||||
*.err
|
*.err
|
||||||
|
*.tim
|
||||||
|
*.tspec
|
||||||
|
|
||||||
|
33
firmware/alu/CPLD1.ucf
Normal file
33
firmware/alu/CPLD1.ucf
Normal file
@ -0,0 +1,33 @@
|
|||||||
|
NET "func(0)" LOC = "43";
|
||||||
|
NET "func(1)" LOC = "44";
|
||||||
|
NET "func(2)" LOC = "1";
|
||||||
|
NET "func(3)" LOC = "2";
|
||||||
|
|
||||||
|
NET "accu(0)" LOC = "27";
|
||||||
|
NET "accu(1)" LOC = "28";
|
||||||
|
NET "accu(2)" LOC = "29";
|
||||||
|
NET "accu(3)" LOC = "30";
|
||||||
|
NET "accu(4)" LOC = "31";
|
||||||
|
NET "accu(5)" LOC = "32";
|
||||||
|
NET "accu(6)" LOC = "37";
|
||||||
|
NET "accu(7)" LOC = "38";
|
||||||
|
|
||||||
|
NET "ram(0)" LOC = "39";
|
||||||
|
NET "ram(1)" LOC = "40";
|
||||||
|
NET "ram(2)" LOC = "41";
|
||||||
|
NET "ram(3)" LOC = "42";
|
||||||
|
NET "ram(4)" LOC = "5";
|
||||||
|
NET "ram(5)" LOC = "6";
|
||||||
|
NET "ram(6)" LOC = "7";
|
||||||
|
NET "ram(7)" LOC = "8";
|
||||||
|
|
||||||
|
NET "result(0)" LOC = "22";
|
||||||
|
NET "result(1)" LOC = "21";
|
||||||
|
NET "result(2)" LOC = "20";
|
||||||
|
NET "result(3)" LOC = "19";
|
||||||
|
NET "result(4)" LOC = "18";
|
||||||
|
NET "result(5)" LOC = "16";
|
||||||
|
NET "result(6)" LOC = "14";
|
||||||
|
NET "result(7)" LOC = "13";
|
||||||
|
|
||||||
|
NET "carry_out" LOC = "3";
|
30
firmware/alu/CPLD1.vhd
Normal file
30
firmware/alu/CPLD1.vhd
Normal file
@ -0,0 +1,30 @@
|
|||||||
|
library ieee;
|
||||||
|
|
||||||
|
use ieee.std_logic_1164.all;
|
||||||
|
|
||||||
|
entity CPLD1 is
|
||||||
|
port (
|
||||||
|
func: in std_logic_vector(3 downto 0);
|
||||||
|
accu: in std_logic_vector(7 downto 0);
|
||||||
|
ram: in std_logic_vector(7 downto 0);
|
||||||
|
result: out std_logic_vector(7 downto 0);
|
||||||
|
carry_out: out std_logic
|
||||||
|
);
|
||||||
|
end CPLD1;
|
||||||
|
|
||||||
|
architecture rtl of CPLD1 is
|
||||||
|
begin
|
||||||
|
|
||||||
|
alu: entity work.alu generic map (
|
||||||
|
WIDTH => 8,
|
||||||
|
FIRST => true
|
||||||
|
) port map (
|
||||||
|
func => func,
|
||||||
|
accu => accu,
|
||||||
|
ram => ram,
|
||||||
|
carry_in => '0',
|
||||||
|
result => result,
|
||||||
|
carry_out => carry_out
|
||||||
|
);
|
||||||
|
|
||||||
|
end rtl;
|
33
firmware/alu/CPLD2.ucf
Normal file
33
firmware/alu/CPLD2.ucf
Normal file
@ -0,0 +1,33 @@
|
|||||||
|
NET "func(0)" LOC = "44";
|
||||||
|
NET "func(1)" LOC = "43";
|
||||||
|
NET "func(2)" LOC = "42";
|
||||||
|
NET "func(3)" LOC = "41";
|
||||||
|
|
||||||
|
NET "accu(8)" LOC = "27";
|
||||||
|
NET "accu(9)" LOC = "28";
|
||||||
|
NET "accu(10)" LOC = "29";
|
||||||
|
NET "accu(11)" LOC = "30";
|
||||||
|
NET "accu(12)" LOC = "31";
|
||||||
|
NET "accu(13)" LOC = "32";
|
||||||
|
NET "accu(14)" LOC = "37";
|
||||||
|
NET "accu(15)" LOC = "38";
|
||||||
|
|
||||||
|
NET "ram(8)" LOC = "39";
|
||||||
|
NET "ram(9)" LOC = "40";
|
||||||
|
NET "ram(10)" LOC = "2";
|
||||||
|
NET "ram(11)" LOC = "3";
|
||||||
|
NET "ram(12)" LOC = "5";
|
||||||
|
NET "ram(13)" LOC = "6";
|
||||||
|
NET "ram(14)" LOC = "7";
|
||||||
|
NET "ram(15)" LOC = "8";
|
||||||
|
|
||||||
|
NET "result(8)" LOC = "21";
|
||||||
|
NET "result(9)" LOC = "20";
|
||||||
|
NET "result(10)" LOC = "19";
|
||||||
|
NET "result(11)" LOC = "18";
|
||||||
|
NET "result(12)" LOC = "16";
|
||||||
|
NET "result(13)" LOC = "14";
|
||||||
|
NET "result(14)" LOC = "13";
|
||||||
|
NET "result(15)" LOC = "12";
|
||||||
|
|
||||||
|
NET "carry_in" LOC = "33";
|
30
firmware/alu/CPLD2.vhd
Normal file
30
firmware/alu/CPLD2.vhd
Normal file
@ -0,0 +1,30 @@
|
|||||||
|
library ieee;
|
||||||
|
|
||||||
|
use ieee.std_logic_1164.all;
|
||||||
|
|
||||||
|
entity CPLD2 is
|
||||||
|
port (
|
||||||
|
func: in std_logic_vector(3 downto 0);
|
||||||
|
accu: in std_logic_vector(15 downto 8);
|
||||||
|
ram: in std_logic_vector(15 downto 8);
|
||||||
|
carry_in: in std_logic;
|
||||||
|
result: out std_logic_vector(15 downto 8)
|
||||||
|
);
|
||||||
|
end CPLD2;
|
||||||
|
|
||||||
|
architecture rtl of CPLD2 is
|
||||||
|
begin
|
||||||
|
|
||||||
|
alu: entity work.alu generic map (
|
||||||
|
WIDTH => 8,
|
||||||
|
FIRST => false
|
||||||
|
) port map (
|
||||||
|
func => func,
|
||||||
|
accu => accu,
|
||||||
|
ram => ram,
|
||||||
|
carry_in => carry_in,
|
||||||
|
result => result,
|
||||||
|
carry_out => open
|
||||||
|
);
|
||||||
|
|
||||||
|
end rtl;
|
@ -3,7 +3,7 @@ library ieee;
|
|||||||
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
||||||
use ieee.numeric_std.ALL;
|
use ieee.numeric_std.ALL;
|
||||||
|
|
||||||
entity main is
|
entity alu is
|
||||||
generic (
|
generic (
|
||||||
WIDTH: integer := 8;
|
WIDTH: integer := 8;
|
||||||
FIRST: boolean := true
|
FIRST: boolean := true
|
||||||
@ -16,9 +16,9 @@ entity main is
|
|||||||
result: out std_logic_vector(WIDTH-1 downto 0);
|
result: out std_logic_vector(WIDTH-1 downto 0);
|
||||||
carry_out: out std_logic
|
carry_out: out std_logic
|
||||||
);
|
);
|
||||||
end main;
|
end alu;
|
||||||
|
|
||||||
architecture rtl of main is
|
architecture rtl of alu is
|
||||||
signal x: std_logic_vector(WIDTH-1 downto 0);
|
signal x: std_logic_vector(WIDTH-1 downto 0);
|
||||||
signal y: std_logic_vector(WIDTH-1 downto 0);
|
signal y: std_logic_vector(WIDTH-1 downto 0);
|
||||||
signal cin: std_logic;
|
signal cin: std_logic;
|
@ -15,10 +15,6 @@
|
|||||||
<version xil_pn:ise_version="14.7" xil_pn:schema_version="2"/>
|
<version xil_pn:ise_version="14.7" xil_pn:schema_version="2"/>
|
||||||
|
|
||||||
<files>
|
<files>
|
||||||
<file xil_pn:name="main.vhd" xil_pn:type="FILE_VHDL">
|
|
||||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
|
|
||||||
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
|
|
||||||
</file>
|
|
||||||
<file xil_pn:name="adder.vhd" xil_pn:type="FILE_VHDL">
|
<file xil_pn:name="adder.vhd" xil_pn:type="FILE_VHDL">
|
||||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="1"/>
|
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="1"/>
|
||||||
<association xil_pn:name="Implementation" xil_pn:seqID="1"/>
|
<association xil_pn:name="Implementation" xil_pn:seqID="1"/>
|
||||||
@ -29,7 +25,22 @@
|
|||||||
</file>
|
</file>
|
||||||
<file xil_pn:name="tests/control_logic.vhd" xil_pn:type="FILE_VHDL">
|
<file xil_pn:name="tests/control_logic.vhd" xil_pn:type="FILE_VHDL">
|
||||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
|
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
|
||||||
<association xil_pn:name="Implementation" xil_pn:seqID="4"/>
|
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="4"/>
|
||||||
|
</file>
|
||||||
|
<file xil_pn:name="CPLD1.vhd" xil_pn:type="FILE_VHDL">
|
||||||
|
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="14"/>
|
||||||
|
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
|
||||||
|
</file>
|
||||||
|
<file xil_pn:name="CPLD2.vhd" xil_pn:type="FILE_VHDL">
|
||||||
|
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="15"/>
|
||||||
|
<association xil_pn:name="Implementation" xil_pn:seqID="3"/>
|
||||||
|
</file>
|
||||||
|
<file xil_pn:name="alu.vhd" xil_pn:type="FILE_VHDL">
|
||||||
|
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="16"/>
|
||||||
|
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
|
||||||
|
</file>
|
||||||
|
<file xil_pn:name="CPLD2.ucf" xil_pn:type="FILE_UCF">
|
||||||
|
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
|
||||||
</file>
|
</file>
|
||||||
</files>
|
</files>
|
||||||
|
|
||||||
@ -39,7 +50,7 @@
|
|||||||
<property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
|
<property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Analysis Effort Level" xil_pn:value="Standard" xil_pn:valueState="default"/>
|
<property xil_pn:name="Analysis Effort Level" xil_pn:value="Standard" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
|
<property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Auto Implementation Top" xil_pn:value="true" xil_pn:valueState="default"/>
|
<property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
|
||||||
<property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/>
|
<property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Autosignature Generation" xil_pn:value="false" xil_pn:valueState="default"/>
|
<property xil_pn:name="Autosignature Generation" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
|
<property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||||
@ -47,7 +58,9 @@
|
|||||||
<property xil_pn:name="Bus Delimiter" xil_pn:value="<>" xil_pn:valueState="default"/>
|
<property xil_pn:name="Bus Delimiter" xil_pn:value="<>" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/>
|
<property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/>
|
<property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/>
|
||||||
|
<property xil_pn:name="Clock Enable" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Collapsing Input Limit (2-36)" xil_pn:value="36" xil_pn:valueState="default"/>
|
<property xil_pn:name="Collapsing Input Limit (2-36)" xil_pn:value="36" xil_pn:valueState="default"/>
|
||||||
|
<property xil_pn:name="Collapsing Input Limit (2-54)" xil_pn:value="54" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Collapsing Pterm Limit (1-90)" xil_pn:value="25" xil_pn:valueState="default"/>
|
<property xil_pn:name="Collapsing Pterm Limit (1-90)" xil_pn:value="25" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Compile CPLD Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
|
<property xil_pn:name="Compile CPLD Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
|
<property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||||
@ -58,9 +71,9 @@
|
|||||||
<property xil_pn:name="Create Programmable GND Pins on Unused I/O" xil_pn:value="false" xil_pn:valueState="default"/>
|
<property xil_pn:name="Create Programmable GND Pins on Unused I/O" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Default Powerup Value of Registers" xil_pn:value="Low" xil_pn:valueState="default"/>
|
<property xil_pn:name="Default Powerup Value of Registers" xil_pn:value="Low" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/>
|
<property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Device" xil_pn:value="xc9572" xil_pn:valueState="non-default"/>
|
<property xil_pn:name="Device" xil_pn:value="xc9572xl" xil_pn:valueState="non-default"/>
|
||||||
<property xil_pn:name="Device Family" xil_pn:value="XC9500 CPLDs" xil_pn:valueState="non-default"/>
|
<property xil_pn:name="Device Family" xil_pn:value="XC9500XL CPLDs" xil_pn:valueState="non-default"/>
|
||||||
<property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-7" xil_pn:valueState="default"/>
|
<property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-10" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
|
<property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Enable FASTConnect/UIM optimization" xil_pn:value="true" xil_pn:valueState="default"/>
|
<property xil_pn:name="Enable FASTConnect/UIM optimization" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Enable Hardware Co-Simulation" xil_pn:value="false" xil_pn:valueState="default"/>
|
<property xil_pn:name="Enable Hardware Co-Simulation" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||||
@ -84,11 +97,12 @@
|
|||||||
<property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/>
|
<property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="HDL Equations Style" xil_pn:value="Source" xil_pn:valueState="default"/>
|
<property xil_pn:name="HDL Equations Style" xil_pn:value="Source" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/>
|
<property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/>
|
||||||
|
<property xil_pn:name="I/O Pin Termination" xil_pn:value="Keeper" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/>
|
<property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Implementation Template" xil_pn:value="Optimize Balance" xil_pn:valueState="default"/>
|
<property xil_pn:name="Implementation Template" xil_pn:value="Optimize Balance" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Implementation Top" xil_pn:value="Architecture|control_logic|test" xil_pn:valueState="non-default"/>
|
<property xil_pn:name="Implementation Top" xil_pn:value="Architecture|CPLD2|rtl" xil_pn:valueState="non-default"/>
|
||||||
<property xil_pn:name="Implementation Top File" xil_pn:value="tests/control_logic.vhd" xil_pn:valueState="non-default"/>
|
<property xil_pn:name="Implementation Top File" xil_pn:value="CPLD2.vhd" xil_pn:valueState="non-default"/>
|
||||||
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/control_logic" xil_pn:valueState="non-default"/>
|
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/CPLD2" xil_pn:valueState="non-default"/>
|
||||||
<property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
|
<property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
|
<property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
|
<property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||||
@ -134,16 +148,16 @@
|
|||||||
<property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
<property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
<property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/>
|
<property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Output File Name" xil_pn:value="main" xil_pn:valueState="non-default"/>
|
<property xil_pn:name="Output File Name" xil_pn:value="CPLD2" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Output Slew Rate" xil_pn:value="Fast" xil_pn:valueState="default"/>
|
<property xil_pn:name="Output Slew Rate" xil_pn:value="Fast" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
|
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Package" xil_pn:value="PC44" xil_pn:valueState="default"/>
|
<property xil_pn:name="Package" xil_pn:value="VQ44" xil_pn:valueState="non-default"/>
|
||||||
<property xil_pn:name="Pin Feedback" xil_pn:value="On" xil_pn:valueState="default"/>
|
<property xil_pn:name="Pin Feedback" xil_pn:value="On" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/>
|
<property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="control_logic_map.v" xil_pn:valueState="default"/>
|
<property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="CPLD2_map.v" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Post Place & Route Simulation Model Name" xil_pn:value="control_logic_timesim.v" xil_pn:valueState="default"/>
|
<property xil_pn:name="Post Place & Route Simulation Model Name" xil_pn:value="CPLD2_timesim.v" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="control_logic_synthesis.v" xil_pn:valueState="default"/>
|
<property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="CPLD2_synthesis.v" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="control_logic_translate.v" xil_pn:valueState="default"/>
|
<property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="CPLD2_translate.v" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
|
<property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Preserve Unused Inputs" xil_pn:value="false" xil_pn:valueState="default"/>
|
<property xil_pn:name="Preserve Unused Inputs" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
|
<property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||||
@ -179,9 +193,10 @@
|
|||||||
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
|
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="work.control_logic" xil_pn:valueState="default"/>
|
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="work.control_logic" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Specify Top Level Instance Names Fit" xil_pn:value="Default" xil_pn:valueState="default"/>
|
<property xil_pn:name="Specify Top Level Instance Names Fit" xil_pn:value="Default" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Speed Grade" xil_pn:value="-7" xil_pn:valueState="default"/>
|
<property xil_pn:name="Speed Grade" xil_pn:value="-10" xil_pn:valueState="non-default"/>
|
||||||
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
|
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/>
|
<property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/>
|
||||||
|
<property xil_pn:name="Target UCF File Name" xil_pn:value="CPLD1.ucf" xil_pn:valueState="non-default"/>
|
||||||
<property xil_pn:name="Timing Report Format" xil_pn:value="Summary" xil_pn:valueState="default"/>
|
<property xil_pn:name="Timing Report Format" xil_pn:value="Summary" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
|
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/>
|
<property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/>
|
||||||
@ -219,7 +234,7 @@
|
|||||||
<!-- -->
|
<!-- -->
|
||||||
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="Architecture|control_logic|test" xil_pn:valueState="non-default"/>
|
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="Architecture|control_logic|test" xil_pn:valueState="non-default"/>
|
||||||
<property xil_pn:name="PROP_DesignName" xil_pn:value="alu" xil_pn:valueState="non-default"/>
|
<property xil_pn:name="PROP_DesignName" xil_pn:value="alu" xil_pn:valueState="non-default"/>
|
||||||
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="xc9500" xil_pn:valueState="default"/>
|
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="xc9500xl" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>
|
<property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="PROP_PostFitSimTop" xil_pn:value="" xil_pn:valueState="default"/>
|
<property xil_pn:name="PROP_PostFitSimTop" xil_pn:value="" xil_pn:valueState="default"/>
|
||||||
<property xil_pn:name="PROP_PostMapSimTop" xil_pn:value="" xil_pn:valueState="default"/>
|
<property xil_pn:name="PROP_PostMapSimTop" xil_pn:value="" xil_pn:valueState="default"/>
|
||||||
@ -233,7 +248,9 @@
|
|||||||
<property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
|
<property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
|
||||||
</properties>
|
</properties>
|
||||||
|
|
||||||
<bindings/>
|
<bindings>
|
||||||
|
<binding xil_pn:location="/CPLD2" xil_pn:name="CPLD2.ucf"/>
|
||||||
|
</bindings>
|
||||||
|
|
||||||
<libraries/>
|
<libraries/>
|
||||||
|
|
||||||
|
Reference in New Issue
Block a user