1
0
Fork 0

async_reset_reg: Don't randomize the register if rst is asserted anyway

This commit is contained in:
Megan Wachs 2017-09-20 14:47:00 -07:00
parent cbd65cd247
commit cda89fbacb
1 changed files with 3 additions and 1 deletions

View File

@ -54,7 +54,9 @@ module AsyncResetReg (
`endif
`ifdef RANDOMIZE_REG_INIT
_RAND = {1{$random}};
q = _RAND[0];
if (~rst) begin
q = _RAND[0];
end
`endif
end
`endif // `ifdef RANDOMIZE