1
0

Chisel3 compatibility fix

This commit is contained in:
Andrew Waterman 2016-03-10 15:50:44 -08:00
parent 93773a4496
commit c28d115b30

View File

@ -75,7 +75,7 @@ class FlowThroughSerializer[T <: Bundle with HasTileLinkData](gen: T, n: Int) ex
val rbits = Reg{io.in.bits}
val active = Reg(init=Bool(false))
val shifter = Vec(n, Bits(width = narrowWidth))
val shifter = Wire(Vec(n, Bits(width = narrowWidth)))
(0 until n).foreach {
i => shifter(i) := rbits.data((i+1)*narrowWidth-1,i*narrowWidth)
}