1
0
rocket-chip/vsrc/plusarg_reader.v

21 lines
494 B
Coq
Raw Normal View History

// See LICENSE.SiFive for license details.
// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),
// but Incisive demands them. These default values should never be used.
module plusarg_reader #(FORMAT="borked=%d", DEFAULT=0) (
output [31:0] out
);
reg [31:0] myplus;
assign out = myplus;
initial begin
2017-05-19 07:54:40 +02:00
`ifdef SYNTHESIS
myplus = DEFAULT;
2017-05-19 07:54:40 +02:00
`else
if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;
`endif
end
endmodule