1
0
Fork 0

plusarg_reader: support verilator

This commit is contained in:
Wesley W. Terpstra 2017-05-18 22:54:40 -07:00
parent 9eae1fa377
commit 6a7e6ab325
1 changed files with 2 additions and 4 deletions

View File

@ -10,13 +10,11 @@ reg [31:0] myplus;
assign out = myplus;
initial begin
`ifdef SYNTHESIS
myplus = DEFAULT;
`ifndef SYNTHESIS
`ifndef verilator
// Work-around for https://www.veripool.org/issues/1165
`else
if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;
`endif
`endif
end
endmodule