1
0
Fork 0

Merge pull request #1006 from freechipsproject/async_reset_reg

async_reset_reg: Don't randomize the register if rst is asserted anyway
This commit is contained in:
Megan Wachs 2017-09-21 11:48:04 -07:00 committed by GitHub
commit ffa3ab29ac
1 changed files with 3 additions and 1 deletions

View File

@ -54,7 +54,9 @@ module AsyncResetReg (
`endif
`ifdef RANDOMIZE_REG_INIT
_RAND = {1{$random}};
q = _RAND[0];
if (~rst) begin
q = _RAND[0];
end
`endif
end
`endif // `ifdef RANDOMIZE