1
0
Fork 0

enhance error message when debug enabled

This commit enhances the error message when the user enables waveform dump at runtime but forgets to enable the compile time define.
This commit is contained in:
Edmond Cote 2018-01-13 13:35:52 -08:00 committed by GitHub
parent 42e5e92d43
commit 904f0f3d93
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23
1 changed files with 2 additions and 2 deletions

View File

@ -51,7 +51,7 @@ module TestDriver;
`ifdef VCS
$vcdplusfile(vcdplusfile);
`else
$fdisplay(stderr, "Error: +vcdplusfile is VCS-only; use +vcdfile instead");
$fdisplay(stderr, "Error: +vcdplusfile is VCS-only; use +vcdfile instead or recompile with VCS=1");
$fatal;
`endif
end
@ -63,7 +63,7 @@ module TestDriver;
$fsdbDumpvars("+all");
//$fsdbDumpSVA;
`else
$fdisplay(stderr, "Error: +fsdbfile is FSDB-only; use +vcdfile or +vcdplus instead");
$fdisplay(stderr, "Error: +fsdbfile is FSDB-only; use +vcdfile/+vcdplus instead or recompile with FSDB=1");
$fatal;
`endif
end