From 904f0f3d936c6cdab6c0a038e999bac20cc7411a Mon Sep 17 00:00:00 2001 From: Edmond Cote Date: Sat, 13 Jan 2018 13:35:52 -0800 Subject: [PATCH] enhance error message when debug enabled This commit enhances the error message when the user enables waveform dump at runtime but forgets to enable the compile time define. --- vsrc/TestDriver.v | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/vsrc/TestDriver.v b/vsrc/TestDriver.v index a5d4f6cc..6d31c0c5 100644 --- a/vsrc/TestDriver.v +++ b/vsrc/TestDriver.v @@ -51,7 +51,7 @@ module TestDriver; `ifdef VCS $vcdplusfile(vcdplusfile); `else - $fdisplay(stderr, "Error: +vcdplusfile is VCS-only; use +vcdfile instead"); + $fdisplay(stderr, "Error: +vcdplusfile is VCS-only; use +vcdfile instead or recompile with VCS=1"); $fatal; `endif end @@ -63,7 +63,7 @@ module TestDriver; $fsdbDumpvars("+all"); //$fsdbDumpSVA; `else - $fdisplay(stderr, "Error: +fsdbfile is FSDB-only; use +vcdfile or +vcdplus instead"); + $fdisplay(stderr, "Error: +fsdbfile is FSDB-only; use +vcdfile/+vcdplus instead or recompile with FSDB=1"); $fatal; `endif end