1
0
fpga-shells/xilinx/common/tcl/synth.tcl
2017-08-16 11:23:45 -07:00

11 lines
292 B
Tcl

# See LICENSE for license details.
# Read the specified list of IP files
read_ip [glob -directory $ipdir [file join * {*.xci}]]
# Synthesize the design
synth_design -top $top -flatten_hierarchy rebuilt
# Checkpoint the current design
write_checkpoint -force [file join $wrkdir post_synth]