1
0
Fork 0
fpga-shells/xilinx/common/tcl/opt.tcl

8 lines
175 B
Tcl

# See LICENSE for license details.
# Optimize the netlist
opt_design -directive Explore
# Checkpoint the current design
write_checkpoint -force [file join $wrkdir post_opt]