1
0
Fork 0
fpga-shells/xilinx/common/tcl/opt.tcl

8 lines
175 B
Tcl
Raw Normal View History

2017-08-16 20:23:45 +02:00
# See LICENSE for license details.
# Optimize the netlist
opt_design -directive Explore
# Checkpoint the current design
write_checkpoint -force [file join $wrkdir post_opt]