1
0
fpga-shells/xilinx/common/tcl/vivado.tcl

30 lines
757 B
Tcl
Raw Normal View History

2017-08-16 20:23:45 +02:00
# See LICENSE for license details.
# Synthesize the design
source [file join $scriptdir "synth.tcl"]
# Pre-implementation debug
if {[info exists ::env(PRE_IMPL_DEBUG_TCL)]} {
2017-08-18 20:34:35 +02:00
source [file join $scriptdir $::env(PRE_IMPL_DEBUG_TCL)]
}
2017-08-16 20:23:45 +02:00
# Post synthesis optimization
source [file join $scriptdir "opt.tcl"]
# Place the design
source [file join $scriptdir "place.tcl"]
# Route the design
source [file join $scriptdir "route.tcl"]
# Generate bitstream and save verilog netlist
source [file join $scriptdir "bitstream.tcl"]
# Post-implementation debug
if {[info exists ::env(POST_IMPL_DEBUG_TCL)]} {
2017-08-18 20:34:35 +02:00
source [file join $scriptdir $::env(POST_IMPL_DEBUG_TCL)]
}
2017-08-16 20:23:45 +02:00
# Create reports for the current implementation
source [file join $scriptdir "report.tcl"]