titux-badge/badge/titux.kicad_pcb

4452 lines
401 KiB
Plaintext

(kicad_pcb (version 20171130) (host pcbnew 5.1.5)
(general
(thickness 1.6)
(drawings 553)
(tracks 106)
(zones 0)
(modules 30)
(nets 21)
)
(page A4)
(layers
(0 F.Cu signal hide)
(31 B.Cu signal)
(32 B.Adhes user)
(33 F.Adhes user)
(34 B.Paste user)
(35 F.Paste user)
(36 B.SilkS user)
(37 F.SilkS user hide)
(38 B.Mask user)
(39 F.Mask user hide)
(40 Dwgs.User user)
(41 Cmts.User user)
(42 Eco1.User user)
(43 Eco2.User user)
(44 Edge.Cuts user)
(45 Margin user)
(46 B.CrtYd user)
(47 F.CrtYd user)
(48 B.Fab user)
(49 F.Fab user)
)
(setup
(last_trace_width 0.25)
(trace_clearance 0.2)
(zone_clearance 0.508)
(zone_45_only no)
(trace_min 0.2)
(via_size 0.6)
(via_drill 0.4)
(via_min_size 0.4)
(via_min_drill 0.3)
(uvia_size 0.3)
(uvia_drill 0.1)
(uvias_allowed no)
(uvia_min_size 0.2)
(uvia_min_drill 0.1)
(edge_width 0.15)
(segment_width 0.2)
(pcb_text_width 0.3)
(pcb_text_size 1.5 1.5)
(mod_edge_width 0.15)
(mod_text_size 1 1)
(mod_text_width 0.15)
(pad_size 3 3)
(pad_drill 0)
(pad_to_mask_clearance 0.2)
(aux_axis_origin 0 0)
(visible_elements FFFFFF7F)
(pcbplotparams
(layerselection 0x010f0_80000001)
(usegerberextensions false)
(usegerberattributes false)
(usegerberadvancedattributes false)
(creategerberjobfile false)
(excludeedgelayer true)
(linewidth 0.100000)
(plotframeref false)
(viasonmask false)
(mode 1)
(useauxorigin false)
(hpglpennumber 1)
(hpglpenspeed 20)
(hpglpendiameter 15.000000)
(psnegative false)
(psa4output false)
(plotreference true)
(plotvalue true)
(plotinvisibletext false)
(padsonsilk false)
(subtractmaskfromsilk false)
(outputformat 1)
(mirror false)
(drillshape 1)
(scaleselection 1)
(outputdirectory "gerbers/"))
)
(net 0 "")
(net 1 VCC)
(net 2 GND)
(net 3 "Net-(D1-Pad1)")
(net 4 /LED_B1)
(net 5 /LED_R1)
(net 6 "Net-(D2-Pad1)")
(net 7 "Net-(D3-Pad1)")
(net 8 /LED_B2)
(net 9 /LED_R2)
(net 10 "Net-(D4-Pad1)")
(net 11 "Net-(D5-Pad1)")
(net 12 /LED_B3)
(net 13 /LED_R3)
(net 14 "Net-(D6-Pad1)")
(net 15 "Net-(R10-Pad2)")
(net 16 /RESET)
(net 17 /MISO)
(net 18 /MOSI)
(net 19 /SCK)
(net 20 "Net-(U1-Pad6)")
(net_class Default "This is the default net class."
(clearance 0.2)
(trace_width 0.25)
(via_dia 0.6)
(via_drill 0.4)
(uvia_dia 0.3)
(uvia_drill 0.1)
(add_net /LED_B1)
(add_net /LED_B2)
(add_net /LED_B3)
(add_net /LED_R1)
(add_net /LED_R2)
(add_net /LED_R3)
(add_net /MISO)
(add_net /MOSI)
(add_net /RESET)
(add_net /SCK)
(add_net GND)
(add_net "Net-(D1-Pad1)")
(add_net "Net-(D2-Pad1)")
(add_net "Net-(D3-Pad1)")
(add_net "Net-(D4-Pad1)")
(add_net "Net-(D5-Pad1)")
(add_net "Net-(D6-Pad1)")
(add_net "Net-(R10-Pad2)")
(add_net "Net-(U1-Pad6)")
(add_net VCC)
)
(module Tiband:Switch_SMD_rotated_6x6x4,3 (layer B.Cu) (tedit 5DE452BF) (tstamp 5DE58B0E)
(at 53.848 48.514)
(descr "C&K Components SPST SMD PTS645 Series 6mm Tact Switch")
(tags "SPST Button Switch")
(path /5DE49A6E)
(attr smd)
(fp_text reference SW1 (at 0 4.05) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value SW_Push (at 0 -4.15) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text user %R (at 0 4.05) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -3 3) (end -3 -3) (layer B.Fab) (width 0.1))
(fp_line (start -3 -3) (end 3 -3) (layer B.Fab) (width 0.1))
(fp_line (start 3 -3) (end 3 3) (layer B.Fab) (width 0.1))
(fp_line (start 3 3) (end -3 3) (layer B.Fab) (width 0.1))
(fp_line (start 5.05 -3.4) (end 5.05 3.4) (layer B.CrtYd) (width 0.05))
(fp_line (start -5.05 3.4) (end -5.05 -3.4) (layer B.CrtYd) (width 0.05))
(fp_line (start -5.05 -3.4) (end 5.05 -3.4) (layer B.CrtYd) (width 0.05))
(fp_line (start -5.05 3.4) (end 5.05 3.4) (layer B.CrtYd) (width 0.05))
(fp_line (start 3.23 3.23) (end 3.23 3.2) (layer B.SilkS) (width 0.12))
(fp_line (start 3.23 -3.23) (end 3.23 -3.2) (layer B.SilkS) (width 0.12))
(fp_line (start -3.23 -3.23) (end -3.23 -3.2) (layer B.SilkS) (width 0.12))
(fp_line (start -3.23 3.2) (end -3.23 3.23) (layer B.SilkS) (width 0.12))
(fp_line (start 3.23 1.3) (end 3.23 -1.3) (layer B.SilkS) (width 0.12))
(fp_line (start -3.23 3.23) (end 3.23 3.23) (layer B.SilkS) (width 0.12))
(fp_line (start -3.23 1.3) (end -3.23 -1.3) (layer B.SilkS) (width 0.12))
(fp_line (start -3.23 -3.23) (end 3.23 -3.23) (layer B.SilkS) (width 0.12))
(fp_circle (center 0 0) (end 1.75 0.05) (layer B.Fab) (width 0.1))
(pad 1 smd rect (at -3.98 -2.25) (size 1.55 1.3) (layers B.Cu B.Paste B.Mask)
(net 2 GND))
(pad 1 smd rect (at -3.98 2.25) (size 1.55 1.3) (layers B.Cu B.Paste B.Mask)
(net 2 GND))
(pad 2 smd rect (at 3.98 2.25) (size 1.55 1.3) (layers B.Cu B.Paste B.Mask)
(net 15 "Net-(R10-Pad2)"))
(pad 2 smd rect (at 3.98 -2.25) (size 1.55 1.3) (layers B.Cu B.Paste B.Mask)
(net 15 "Net-(R10-Pad2)"))
(model ${KISYS3DMOD}/Buttons_Switches_SMD.3dshapes/SW_SPST_PTS645.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Tiband:KrokoPad (layer B.Cu) (tedit 5DE5930C) (tstamp 5DE605D7)
(at 45.212 75.184)
(path /5DEAE2EC)
(fp_text reference GND (at -3.556 0) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value Conn_01x01 (at 0 2.286) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(pad 1 smd circle (at 0 0) (size 3 3) (layers B.Cu B.Paste B.Mask)
(net 2 GND))
)
(module Tiband:KrokoPad (layer B.Cu) (tedit 5DE5930C) (tstamp 5DE605D2)
(at 68.58 53.34)
(path /5DEAE0C1)
(fp_text reference MISO (at 0 2.54) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value Conn_01x01 (at 0 2.286) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(pad 1 smd circle (at 0 0) (size 3 3) (layers B.Cu B.Paste B.Mask)
(net 17 /MISO))
)
(module Tiband:KrokoPad (layer B.Cu) (tedit 5DE5930C) (tstamp 5DE605CD)
(at 65.786 48.26)
(path /5DEADEFC)
(fp_text reference MOSI (at 0 2.54) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value Conn_01x01 (at 0 2.286) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(pad 1 smd circle (at 0 0) (size 3 3) (layers B.Cu B.Paste B.Mask)
(net 18 /MOSI))
)
(module Tiband:KrokoPad (layer B.Cu) (tedit 5DE5930C) (tstamp 5DE605C8)
(at 62.484 43.18)
(path /5DEADC2D)
(fp_text reference RST (at 0 2.54) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value Conn_01x01 (at 0 2.286) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(pad 1 smd circle (at 0 0) (size 3 3) (layers B.Cu B.Paste B.Mask)
(net 16 /RESET))
)
(module Tiband:KrokoPad (layer B.Cu) (tedit 5DE5930C) (tstamp 5DE61D15)
(at 69.85 59.182)
(path /5DEAD95C)
(fp_text reference SCK (at -3.048 0) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value Conn_01x01 (at 0 2.286) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(pad 1 smd circle (at 0 0) (size 3 3) (layers B.Cu B.Paste B.Mask)
(net 19 /SCK))
)
(module LOGO (layer F.Cu) (tedit 0) (tstamp 0)
(at 53.594 51.562)
(fp_text reference G*** (at 0 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_text value LOGO (at 0.75 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_poly (pts (xy -13.65752 9.344439) (xy -13.447238 9.4301) (xy -13.233455 9.543172) (xy -13.016977 9.683178)
(xy -12.798607 9.849638) (xy -12.770556 9.872833) (xy -12.697086 9.93678) (xy -12.608877 10.017886)
(xy -12.50989 10.112169) (xy -12.404089 10.215653) (xy -12.295434 10.324356) (xy -12.187888 10.4343)
(xy -12.085412 10.541506) (xy -11.991968 10.641994) (xy -11.911518 10.731786) (xy -11.886261 10.761005)
(xy -11.694611 10.9896) (xy -11.491231 11.240116) (xy -11.278168 11.509839) (xy -11.057468 11.79606)
(xy -10.831178 12.096067) (xy -10.601345 12.407148) (xy -10.370015 12.726592) (xy -10.139236 13.051688)
(xy -9.927282 13.356167) (xy -9.879559 13.425909) (xy -9.81763 13.517253) (xy -9.742707 13.628368)
(xy -9.656003 13.757427) (xy -9.558732 13.902601) (xy -9.452105 14.062061) (xy -9.337335 14.233979)
(xy -9.215636 14.416525) (xy -9.08822 14.607872) (xy -8.956299 14.806191) (xy -8.821086 15.009653)
(xy -8.683795 15.21643) (xy -8.545638 15.424692) (xy -8.407827 15.632611) (xy -8.271576 15.83836)
(xy -8.138096 16.040108) (xy -8.008602 16.236027) (xy -7.884305 16.42429) (xy -7.766418 16.603066)
(xy -7.656155 16.770528) (xy -7.554727 16.924847) (xy -7.463347 17.064195) (xy -7.383229 17.186741)
(xy -7.315585 17.290659) (xy -7.261628 17.37412) (xy -7.234671 17.416215) (xy -7.007985 17.783345)
(xy -6.804895 18.135802) (xy -6.625198 18.474082) (xy -6.468689 18.79868) (xy -6.335165 19.11009)
(xy -6.224421 19.408807) (xy -6.136252 19.695326) (xy -6.070456 19.970141) (xy -6.026828 20.233748)
(xy -6.011576 20.382021) (xy -5.999021 20.616071) (xy -5.99968 20.841689) (xy -6.014157 21.06521)
(xy -6.043057 21.292967) (xy -6.086983 21.531294) (xy -6.145017 21.78052) (xy -6.186112 21.934718)
(xy -6.227037 22.069633) (xy -6.270998 22.193998) (xy -6.321202 22.316549) (xy -6.380855 22.446022)
(xy -6.414326 22.514278) (xy -6.512766 22.69695) (xy -6.615811 22.857435) (xy -6.727646 23.001761)
(xy -6.830733 23.114201) (xy -6.996902 23.266006) (xy -7.17637 23.396798) (xy -7.371117 23.507567)
(xy -7.583128 23.599299) (xy -7.814385 23.672984) (xy -8.0645 23.729173) (xy -8.126181 23.737483)
(xy -8.211014 23.744527) (xy -8.313955 23.750243) (xy -8.42996 23.75457) (xy -8.553985 23.757448)
(xy -8.680987 23.758815) (xy -8.80592 23.758612) (xy -8.923741 23.756777) (xy -9.029406 23.753249)
(xy -9.117871 23.747968) (xy -9.172223 23.742524) (xy -9.263139 23.73045) (xy -9.34949 23.71815)
(xy -9.433718 23.705086) (xy -9.51826 23.690717) (xy -9.605558 23.674502) (xy -9.69805 23.655902)
(xy -9.798176 23.634375) (xy -9.908376 23.609382) (xy -10.03109 23.580383) (xy -10.168757 23.546836)
(xy -10.323817 23.508202) (xy -10.49871 23.463941) (xy -10.695875 23.413512) (xy -10.917751 23.356375)
(xy -10.929056 23.353457) (xy -11.33711 23.248563) (xy -11.733238 23.147644) (xy -12.115014 23.051304)
(xy -12.480011 22.960149) (xy -12.825802 22.874783) (xy -13.149959 22.795813) (xy -13.450056 22.723844)
(xy -13.5255 22.70596) (xy -13.627476 22.681856) (xy -13.751941 22.652441) (xy -13.893918 22.61889)
(xy -14.04843 22.582381) (xy -14.2105 22.544089) (xy -14.37515 22.50519) (xy -14.537403 22.46686)
(xy -14.6685 22.435893) (xy -14.943544 22.370491) (xy -15.244864 22.298019) (xy -15.57148 22.218718)
(xy -15.92241 22.132832) (xy -16.296673 22.040602) (xy -16.693287 21.942271) (xy -17.111271 21.838082)
(xy -17.549644 21.728275) (xy -18.007424 21.613095) (xy -18.295056 21.540483) (xy -18.577548 21.469059)
(xy -18.834065 21.404098) (xy -19.065994 21.34516) (xy -19.274726 21.291805) (xy -19.461649 21.243592)
(xy -19.628152 21.200082) (xy -19.775624 21.160834) (xy -19.905456 21.12541) (xy -20.019034 21.093367)
(xy -20.117749 21.064267) (xy -20.20299 21.03767) (xy -20.276146 21.013136) (xy -20.338605 20.990223)
(xy -20.391758 20.968494) (xy -20.436992 20.947507) (xy -20.475698 20.926822) (xy -20.509264 20.906)
(xy -20.539078 20.8846) (xy -20.566532 20.862183) (xy -20.593012 20.838308) (xy -20.619909 20.812536)
(xy -20.63275 20.799975) (xy -20.692115 20.738231) (xy -20.732156 20.685839) (xy -20.756387 20.635576)
(xy -20.768323 20.580213) (xy -20.771477 20.514722) (xy -20.76855 20.449799) (xy -20.758727 20.385331)
(xy -20.740678 20.318716) (xy -20.713077 20.247353) (xy -20.674595 20.168643) (xy -20.623904 20.079983)
(xy -20.559675 19.978772) (xy -20.48058 19.86241) (xy -20.385291 19.728296) (xy -20.333356 19.656778)
(xy -20.210717 19.485354) (xy -20.105785 19.330113) (xy -20.016742 19.186863) (xy -19.941769 19.051411)
(xy -19.879047 18.919563) (xy -19.826757 18.787126) (xy -19.783081 18.649907) (xy -19.746199 18.503713)
(xy -19.714293 18.344351) (xy -19.685544 18.167628) (xy -19.684562 18.161) (xy -19.669231 18.032019)
(xy -19.658956 17.891343) (xy -19.653889 17.747034) (xy -19.654186 17.607158) (xy -19.66 17.479778)
(xy -19.669737 17.384889) (xy -19.688142 17.267807) (xy -19.710923 17.150238) (xy -19.738894 17.029834)
(xy -19.772866 16.904245) (xy -19.81365 16.771123) (xy -19.862059 16.628119) (xy -19.918904 16.472883)
(xy -19.984998 16.303066) (xy -20.061151 16.11632) (xy -20.148177 15.910295) (xy -20.246886 15.682643)
(xy -20.29885 15.564556) (xy -20.361662 15.422039) (xy -20.414038 15.302144) (xy -20.457161 15.201771)
(xy -20.492214 15.117822) (xy -20.520379 15.047197) (xy -20.54284 14.9868) (xy -20.560779 14.933531)
(xy -20.575379 14.88429) (xy -20.587824 14.835981) (xy -20.599296 14.785504) (xy -20.603166 14.767385)
(xy -20.627628 14.600599) (xy -20.628788 14.444944) (xy -20.607184 14.301807) (xy -20.563352 14.172573)
(xy -20.497828 14.058629) (xy -20.411151 13.961362) (xy -20.303856 13.882156) (xy -20.2489 13.852733)
(xy -20.166942 13.817007) (xy -20.083359 13.788763) (xy -19.995273 13.767927) (xy -19.899804 13.754429)
(xy -19.794076 13.748194) (xy -19.675208 13.74915) (xy -19.540323 13.757225) (xy -19.386542 13.772345)
(xy -19.210986 13.794439) (xy -19.021778 13.821766) (xy -18.833109 13.849339) (xy -18.667752 13.871246)
(xy -18.521432 13.887788) (xy -18.389878 13.899266) (xy -18.268815 13.905982) (xy -18.153972 13.908236)
(xy -18.041075 13.906329) (xy -17.925851 13.900562) (xy -17.914056 13.899786) (xy -17.683204 13.880546)
(xy -17.477339 13.854975) (xy -17.293743 13.822129) (xy -17.129701 13.781064) (xy -16.982496 13.730835)
(xy -16.849411 13.6705) (xy -16.727729 13.599115) (xy -16.614734 13.515735) (xy -16.50771 13.419417)
(xy -16.494967 13.406772) (xy -16.396949 13.301838) (xy -16.313979 13.196866) (xy -16.239839 13.083067)
(xy -16.168316 12.951647) (xy -16.156501 12.927995) (xy -16.12448 12.86064) (xy -16.094403 12.791301)
(xy -16.065166 12.716622) (xy -16.035662 12.633244) (xy -16.004788 12.53781) (xy -15.971438 12.426963)
(xy -15.934508 12.297344) (xy -15.892892 12.145597) (xy -15.865562 12.043833) (xy -15.829436 11.908456)
(xy -15.799895 11.797258) (xy -15.776274 11.707142) (xy -15.757905 11.635013) (xy -15.744124 11.577774)
(xy -15.734261 11.532331) (xy -15.727652 11.495586) (xy -15.72363 11.464444) (xy -15.721527 11.435809)
(xy -15.720678 11.406585) (xy -15.720415 11.373676) (xy -15.720393 11.369627) (xy -15.718598 11.313778)
(xy -15.714123 11.238984) (xy -15.70761 11.154325) (xy -15.699696 11.06888) (xy -15.698189 11.054281)
(xy -15.668313 10.811908) (xy -15.632463 10.597) (xy -15.590609 10.409431) (xy -15.54272 10.249077)
(xy -15.488765 10.115811) (xy -15.45152 10.045495) (xy -15.417493 9.994467) (xy -15.369583 9.931042)
(xy -15.312981 9.861295) (xy -15.252877 9.791301) (xy -15.194463 9.727133) (xy -15.142931 9.674866)
(xy -15.10923 9.644974) (xy -15.077157 9.624188) (xy -15.02395 9.594794) (xy -14.954497 9.5591)
(xy -14.873691 9.519415) (xy -14.786421 9.478047) (xy -14.697577 9.437304) (xy -14.612051 9.399496)
(xy -14.534732 9.366929) (xy -14.47051 9.341914) (xy -14.456834 9.337026) (xy -14.41114 9.321416)
(xy -14.373042 9.309986) (xy -14.336832 9.302084) (xy -14.296801 9.297058) (xy -14.247239 9.294256)
(xy -14.182438 9.293026) (xy -14.096688 9.292716) (xy -14.068778 9.292706) (xy -13.807723 9.29266)
(xy -13.65752 9.344439)) (layer F.Mask) (width 0.01))
(fp_poly (pts (xy 16.388303 11.132302) (xy 16.486365 11.141553) (xy 16.567628 11.156975) (xy 16.605225 11.169099)
(xy 16.668178 11.207004) (xy 16.733583 11.269976) (xy 16.799092 11.355267) (xy 16.862359 11.460132)
(xy 16.875769 11.485608) (xy 16.919086 11.573966) (xy 16.951948 11.65256) (xy 16.975715 11.727837)
(xy 16.991752 11.806247) (xy 17.001421 11.894239) (xy 17.006085 11.998263) (xy 17.007131 12.107333)
(xy 17.006265 12.190697) (xy 17.003865 12.295728) (xy 17.000156 12.415928) (xy 16.995362 12.544797)
(xy 16.989707 12.675836) (xy 16.983417 12.802546) (xy 16.982619 12.817359) (xy 16.972554 13.042528)
(xy 16.968496 13.244777) (xy 16.970783 13.428835) (xy 16.979751 13.599427) (xy 16.995736 13.761282)
(xy 17.019075 13.919127) (xy 17.050105 14.077689) (xy 17.082008 14.213493) (xy 17.167426 14.51807)
(xy 17.265222 14.800187) (xy 17.375084 15.059328) (xy 17.496699 15.294977) (xy 17.629756 15.506619)
(xy 17.773942 15.69374) (xy 17.928944 15.855823) (xy 18.094451 15.992354) (xy 18.204238 16.065176)
(xy 18.318254 16.126524) (xy 18.457241 16.187696) (xy 18.62188 16.248933) (xy 18.812853 16.310474)
(xy 19.030841 16.372558) (xy 19.212277 16.419574) (xy 19.500104 16.498141) (xy 19.763481 16.583911)
(xy 20.002025 16.676653) (xy 20.215357 16.77614) (xy 20.403094 16.882142) (xy 20.564855 16.994431)
(xy 20.700259 17.112778) (xy 20.808925 17.236953) (xy 20.890471 17.366729) (xy 20.926676 17.448389)
(xy 20.949708 17.537859) (xy 20.959361 17.641206) (xy 20.955418 17.747341) (xy 20.93766 17.845175)
(xy 20.93467 17.855567) (xy 20.872873 18.016353) (xy 20.784275 18.178926) (xy 20.670116 18.34186)
(xy 20.531634 18.503731) (xy 20.370068 18.663112) (xy 20.186656 18.81858) (xy 19.982637 18.968708)
(xy 19.944916 18.99432) (xy 19.864966 19.047144) (xy 19.786662 19.096939) (xy 19.708327 19.144394)
(xy 19.628288 19.190194) (xy 19.544869 19.235028) (xy 19.456397 19.279581) (xy 19.361196 19.324542)
(xy 19.257591 19.370596) (xy 19.143909 19.418431) (xy 19.018474 19.468734) (xy 18.879612 19.522192)
(xy 18.725648 19.579492) (xy 18.554907 19.64132) (xy 18.365715 19.708364) (xy 18.156397 19.781311)
(xy 17.925278 19.860848) (xy 17.670685 19.947661) (xy 17.390941 20.042438) (xy 17.384888 20.044483)
(xy 17.151246 20.123811) (xy 16.942065 20.195683) (xy 16.75487 20.261054) (xy 16.587182 20.320879)
(xy 16.436524 20.376114) (xy 16.300418 20.427713) (xy 16.176387 20.476631) (xy 16.061953 20.523823)
(xy 15.95464 20.570243) (xy 15.851968 20.616847) (xy 15.751461 20.66459) (xy 15.663741 20.707851)
(xy 15.527514 20.778366) (xy 15.387709 20.855434) (xy 15.242953 20.940024) (xy 15.091871 21.033106)
(xy 14.93309 21.135649) (xy 14.765235 21.248621) (xy 14.586931 21.372993) (xy 14.396806 21.509732)
(xy 14.193485 21.659809) (xy 13.975593 21.824192) (xy 13.741756 22.003851) (xy 13.490601 22.199754)
(xy 13.220753 22.412871) (xy 13.024555 22.569175) (xy 12.829966 22.723155) (xy 12.654367 22.858798)
(xy 12.496238 22.977156) (xy 12.354064 23.079284) (xy 12.226326 23.166233) (xy 12.111506 23.239056)
(xy 12.008086 23.298807) (xy 11.91455 23.346538) (xy 11.883268 23.360864) (xy 11.63008 23.460132)
(xy 11.357919 23.5423) (xy 11.071153 23.606133) (xy 10.936111 23.628911) (xy 10.855269 23.638678)
(xy 10.756416 23.646694) (xy 10.646448 23.652767) (xy 10.532262 23.656707) (xy 10.420757 23.65832)
(xy 10.318828 23.657416) (xy 10.233374 23.653802) (xy 10.188222 23.649702) (xy 9.958981 23.61166)
(xy 9.736066 23.553805) (xy 9.513404 23.474258) (xy 9.284921 23.371137) (xy 9.278055 23.36773)
(xy 9.031743 23.230164) (xy 8.804937 23.072244) (xy 8.597737 22.894057) (xy 8.410247 22.695695)
(xy 8.242566 22.477245) (xy 8.210397 22.429611) (xy 8.096598 22.240669) (xy 8.003069 22.047874)
(xy 7.929206 21.84843) (xy 7.874404 21.639538) (xy 7.83806 21.418404) (xy 7.819567 21.182231)
(xy 7.818322 20.928221) (xy 7.831735 20.678355) (xy 7.838233 20.603299) (xy 7.847196 20.511972)
(xy 7.85822 20.4076) (xy 7.870899 20.293411) (xy 7.884828 20.172634) (xy 7.899604 20.048495)
(xy 7.91482 19.924222) (xy 7.930073 19.803043) (xy 7.944958 19.688185) (xy 7.95907 19.582876)
(xy 7.972004 19.490344) (xy 7.983355 19.413816) (xy 7.992718 19.356521) (xy 7.99969 19.321684)
(xy 8.003046 19.312283) (xy 8.019582 19.305645) (xy 8.057938 19.293042) (xy 8.112739 19.276052)
(xy 8.178609 19.256255) (xy 8.250172 19.235228) (xy 8.322053 19.214551) (xy 8.388877 19.195803)
(xy 8.445268 19.180561) (xy 8.48585 19.170405) (xy 8.48721 19.170094) (xy 8.560819 19.154917)
(xy 8.64053 19.141616) (xy 8.728116 19.130136) (xy 8.825352 19.120421) (xy 8.934014 19.112416)
(xy 9.055877 19.106064) (xy 9.192714 19.101311) (xy 9.346302 19.098101) (xy 9.518414 19.096378)
(xy 9.710827 19.096087) (xy 9.925314 19.097171) (xy 10.163651 19.099576) (xy 10.427612 19.103245)
(xy 10.555111 19.105292) (xy 10.867367 19.109852) (xy 11.153468 19.112577) (xy 11.415428 19.113301)
(xy 11.655264 19.111857) (xy 11.874994 19.10808) (xy 12.076633 19.101803) (xy 12.262197 19.09286)
(xy 12.433703 19.081085) (xy 12.593168 19.066312) (xy 12.742608 19.048374) (xy 12.884039 19.027106)
(xy 13.019477 19.002341) (xy 13.15094 18.973913) (xy 13.280444 18.941657) (xy 13.410004 18.905405)
(xy 13.541637 18.864991) (xy 13.56594 18.857187) (xy 13.787261 18.777441) (xy 14.012938 18.680626)
(xy 14.239021 18.569216) (xy 14.461563 18.445683) (xy 14.676614 18.312497) (xy 14.880226 18.172133)
(xy 15.068451 18.027062) (xy 15.23734 17.879756) (xy 15.382945 17.732688) (xy 15.409436 17.702934)
(xy 15.564974 17.503783) (xy 15.695714 17.291499) (xy 15.801408 17.066872) (xy 15.881807 16.830691)
(xy 15.936662 16.583748) (xy 15.965724 16.326833) (xy 15.968743 16.060735) (xy 15.9623 15.947909)
(xy 15.947363 15.792384) (xy 15.926522 15.649167) (xy 15.898299 15.515304) (xy 15.861215 15.387839)
(xy 15.813793 15.263818) (xy 15.754554 15.140288) (xy 15.68202 15.014293) (xy 15.594712 14.88288)
(xy 15.491153 14.743093) (xy 15.369863 14.59198) (xy 15.229365 14.426584) (xy 15.163261 14.351)
(xy 15.098758 14.277606) (xy 15.050664 14.221975) (xy 15.016703 14.180718) (xy 14.994604 14.150444)
(xy 14.982091 14.127764) (xy 14.976892 14.109288) (xy 14.976732 14.091626) (xy 14.978701 14.075833)
(xy 14.982651 14.039084) (xy 14.987217 13.982273) (xy 14.9918 13.913535) (xy 14.995369 13.849678)
(xy 14.99744 13.750079) (xy 14.991293 13.664268) (xy 14.97479 13.587151) (xy 14.945792 13.513632)
(xy 14.902159 13.438617) (xy 14.841752 13.357012) (xy 14.762434 13.263722) (xy 14.737816 13.236222)
(xy 14.654273 13.140583) (xy 14.588808 13.05781) (xy 14.537997 12.982492) (xy 14.498413 12.909218)
(xy 14.466632 12.832575) (xy 14.449025 12.779968) (xy 14.425349 12.707932) (xy 14.399035 12.634483)
(xy 14.374248 12.571012) (xy 14.363627 12.546354) (xy 14.343444 12.501364) (xy 14.328845 12.467959)
(xy 14.322803 12.452953) (xy 14.322777 12.452775) (xy 14.333114 12.443162) (xy 14.361767 12.418662)
(xy 14.405196 12.382247) (xy 14.459863 12.336887) (xy 14.509527 12.295977) (xy 14.763193 12.083228)
(xy 15.025681 11.854656) (xy 15.28909 11.617168) (xy 15.345833 11.564915) (xy 15.458358 11.46274)
(xy 15.554952 11.37938) (xy 15.638578 11.312687) (xy 15.712196 11.260509) (xy 15.778769 11.220697)
(xy 15.841259 11.1911) (xy 15.88116 11.176298) (xy 15.962435 11.155688) (xy 16.060505 11.140917)
(xy 16.168653 11.132052) (xy 16.280159 11.129158) (xy 16.388303 11.132302)) (layer F.Mask) (width 0.01))
(fp_poly (pts (xy 4.000404 20.316945) (xy 4.043949 20.326045) (xy 4.101869 20.337688) (xy 4.155722 20.348232)
(xy 4.227104 20.362919) (xy 4.276437 20.375739) (xy 4.309608 20.388814) (xy 4.332506 20.404263)
(xy 4.344301 20.416164) (xy 4.363467 20.435796) (xy 4.369352 20.434551) (xy 4.362395 20.410659)
(xy 4.352171 20.384551) (xy 4.351301 20.374306) (xy 4.363715 20.372073) (xy 4.394631 20.37794)
(xy 4.425745 20.385779) (xy 4.571833 20.43638) (xy 4.703713 20.507089) (xy 4.81879 20.595602)
(xy 4.914466 20.699613) (xy 4.988143 20.816817) (xy 5.030872 20.922929) (xy 5.071199 21.092675)
(xy 5.086447 21.261234) (xy 5.0766 21.432918) (xy 5.041647 21.612037) (xy 5.028575 21.659903)
(xy 4.969126 21.828662) (xy 4.894731 21.973611) (xy 4.805141 22.095033) (xy 4.700112 22.193208)
(xy 4.579395 22.268419) (xy 4.452055 22.318236) (xy 4.369575 22.335937) (xy 4.275084 22.342793)
(xy 4.165307 22.338651) (xy 4.036967 22.323355) (xy 3.88679 22.296751) (xy 3.853471 22.289982)
(xy 3.773039 22.273526) (xy 3.701698 22.259288) (xy 3.644242 22.248196) (xy 3.605467 22.241179)
(xy 3.590692 22.239111) (xy 3.578078 22.251597) (xy 3.567172 22.282799) (xy 3.564692 22.295556)
(xy 3.557575 22.330758) (xy 3.545268 22.347063) (xy 3.518748 22.351745) (xy 3.494685 22.352)
(xy 3.455483 22.350266) (xy 3.429625 22.345912) (xy 3.42554 22.343837) (xy 3.409003 22.340436)
(xy 3.370138 22.33729) (xy 3.314842 22.33476) (xy 3.252384 22.333254) (xy 3.087391 22.330833)
(xy 2.845445 21.868993) (xy 2.790281 21.764212) (xy 2.73897 21.667748) (xy 2.693022 21.582362)
(xy 2.653948 21.51082) (xy 2.623258 21.455886) (xy 2.602462 21.420323) (xy 2.593071 21.406895)
(xy 2.592916 21.406854) (xy 2.590095 21.42027) (xy 2.587558 21.458231) (xy 2.585408 21.517062)
(xy 2.583751 21.593086) (xy 2.582691 21.682627) (xy 2.582333 21.77843) (xy 2.581986 21.88342)
(xy 2.581011 21.983822) (xy 2.579505 22.074722) (xy 2.577564 22.151202) (xy 2.575287 22.208349)
(xy 2.573267 22.237041) (xy 2.564201 22.323778) (xy 2.09803 22.323778) (xy 2.107873 21.679531)
(xy 2.117715 21.035284) (xy 2.155996 20.989105) (xy 2.196695 20.952941) (xy 2.231355 20.941908)
(xy 2.266182 20.933475) (xy 2.286 20.919722) (xy 2.314002 20.901953) (xy 2.333588 20.898176)
(xy 2.362318 20.889105) (xy 2.399017 20.866747) (xy 2.413 20.855716) (xy 2.43633 20.833445)
(xy 2.441917 20.822566) (xy 2.435582 20.823138) (xy 2.417589 20.826312) (xy 2.413947 20.813015)
(xy 2.41786 20.791515) (xy 2.422635 20.767383) (xy 2.420003 20.766072) (xy 2.407832 20.788715)
(xy 2.402285 20.799778) (xy 2.375175 20.838138) (xy 2.34149 20.853332) (xy 2.339901 20.853532)
(xy 2.305224 20.863975) (xy 2.28555 20.87793) (xy 2.264636 20.890502) (xy 2.241215 20.883133)
(xy 2.218077 20.876901) (xy 2.19539 20.889151) (xy 2.179871 20.904596) (xy 2.153587 20.930555)
(xy 2.135306 20.940702) (xy 2.123981 20.932608) (xy 2.118565 20.903847) (xy 2.118011 20.85199)
(xy 2.121272 20.774608) (xy 2.121553 20.76934) (xy 2.12537 20.6877) (xy 2.128418 20.602261)
(xy 2.130322 20.524722) (xy 2.130777 20.479713) (xy 2.130777 20.361634) (xy 2.360083 20.365512)
(xy 2.589388 20.369389) (xy 2.836333 20.842111) (xy 3.083277 21.314833) (xy 3.091923 20.912667)
(xy 3.09425 20.805203) (xy 3.09648 20.703736) (xy 3.098515 20.612631) (xy 3.100255 20.536257)
(xy 3.101602 20.478981) (xy 3.102458 20.445171) (xy 3.102506 20.443472) (xy 3.104444 20.376445)
(xy 3.336444 20.376445) (xy 3.414268 20.377056) (xy 3.481628 20.378747) (xy 3.533724 20.381303)
(xy 3.565756 20.384511) (xy 3.57367 20.387028) (xy 3.574783 20.40345) (xy 3.575221 20.444238)
(xy 3.57501 20.505546) (xy 3.574179 20.583526) (xy 3.572755 20.674331) (xy 3.570975 20.7645)
(xy 3.563055 21.131389) (xy 3.481916 21.144256) (xy 3.435534 21.153076) (xy 3.410901 21.162949)
(xy 3.401638 21.177244) (xy 3.400777 21.18712) (xy 3.390713 21.213111) (xy 3.363717 21.250353)
(xy 3.330222 21.286611) (xy 3.291353 21.329351) (xy 3.266485 21.365926) (xy 3.259666 21.386663)
(xy 3.254259 21.41915) (xy 3.240849 21.461444) (xy 3.23668 21.47186) (xy 3.221855 21.516977)
(xy 3.209893 21.571234) (xy 3.201894 21.626298) (xy 3.198959 21.673838) (xy 3.202187 21.705521)
(xy 3.205042 21.711405) (xy 3.210694 21.731795) (xy 3.215286 21.772982) (xy 3.218103 21.827542)
(xy 3.218585 21.852516) (xy 3.221307 21.925424) (xy 3.228091 21.973501) (xy 3.239395 21.999908)
(xy 3.240141 22.000777) (xy 3.253062 22.027265) (xy 3.246403 22.060492) (xy 3.239547 22.089667)
(xy 3.250827 22.106772) (xy 3.260067 22.112326) (xy 3.285731 22.136505) (xy 3.312376 22.176847)
(xy 3.333886 22.2222) (xy 3.344143 22.261412) (xy 3.344333 22.265938) (xy 3.349968 22.292692)
(xy 3.356919 22.301669) (xy 3.362361 22.295729) (xy 3.360152 22.270819) (xy 3.352053 22.234575)
(xy 3.339828 22.194632) (xy 3.33498 22.182667) (xy 3.570111 22.182667) (xy 3.575274 22.194282)
(xy 3.579518 22.192074) (xy 3.581207 22.175328) (xy 3.579518 22.173259) (xy 3.571129 22.175196)
(xy 3.570111 22.182667) (xy 3.33498 22.182667) (xy 3.325239 22.158627) (xy 3.322762 22.153664)
(xy 3.301373 22.121331) (xy 3.280191 22.101309) (xy 3.266007 22.085188) (xy 3.270537 22.057234)
(xy 3.271892 22.053569) (xy 3.277902 22.01495) (xy 3.272162 21.998044) (xy 3.26643 21.975808)
(xy 3.262052 21.932763) (xy 3.259642 21.876316) (xy 3.259371 21.844) (xy 3.259419 21.78224)
(xy 3.259172 21.728799) (xy 3.258678 21.69165) (xy 3.258358 21.681722) (xy 3.261808 21.631891)
(xy 3.274342 21.568144) (xy 3.293168 21.502168) (xy 3.313953 21.448889) (xy 3.33158 21.4066)
(xy 3.342418 21.371605) (xy 3.344023 21.360621) (xy 3.354743 21.340021) (xy 3.382563 21.309729)
(xy 3.421484 21.276268) (xy 3.42189 21.275954) (xy 3.470901 21.233238) (xy 3.496189 21.199471)
(xy 3.499501 21.18628) (xy 3.50315 21.168893) (xy 3.518363 21.158318) (xy 3.551658 21.15148)
(xy 3.580222 21.148178) (xy 3.624941 21.144728) (xy 3.656965 21.144437) (xy 3.667482 21.146445)
(xy 3.667737 21.161545) (xy 3.665476 21.201457) (xy 3.660962 21.262911) (xy 3.654459 21.342633)
(xy 3.646234 21.437353) (xy 3.63655 21.543797) (xy 3.62806 21.633839) (xy 3.61738 21.747156)
(xy 3.607883 21.851268) (xy 3.599842 21.942914) (xy 3.593531 22.018832) (xy 3.589222 22.075761)
(xy 3.587188 22.110441) (xy 3.58737 22.119963) (xy 3.591737 22.108814) (xy 3.600243 22.074559)
(xy 3.611847 22.021835) (xy 3.625504 21.955279) (xy 3.632902 21.917616) (xy 3.649898 21.830293)
(xy 3.662671 21.766872) (xy 3.672294 21.723535) (xy 3.679841 21.696465) (xy 3.686387 21.681842)
(xy 3.693004 21.675849) (xy 3.700767 21.674668) (xy 3.701239 21.674667) (xy 3.721393 21.665772)
(xy 3.74874 21.644339) (xy 3.749152 21.643952) (xy 3.77535 21.625326) (xy 3.808659 21.616625)
(xy 3.85922 21.615277) (xy 3.861968 21.615346) (xy 3.909301 21.613941) (xy 3.946371 21.608091)
(xy 3.960582 21.602106) (xy 3.986621 21.593339) (xy 4.02391 21.593401) (xy 4.025065 21.593567)
(xy 4.065609 21.592707) (xy 4.097498 21.57178) (xy 4.102131 21.566965) (xy 4.137075 21.542192)
(xy 4.170553 21.533556) (xy 4.198863 21.524727) (xy 4.233074 21.503003) (xy 4.264481 21.475526)
(xy 4.284381 21.449438) (xy 4.286819 21.439482) (xy 4.308592 21.439482) (xy 4.310529 21.447871)
(xy 4.318 21.448889) (xy 4.329615 21.443726) (xy 4.327407 21.439482) (xy 4.31066 21.437793)
(xy 4.308592 21.439482) (xy 4.286819 21.439482) (xy 4.287227 21.437816) (xy 4.298044 21.426537)
(xy 4.32725 21.406909) (xy 4.362055 21.386788) (xy 4.412953 21.353088) (xy 4.455641 21.314272)
(xy 4.471013 21.29486) (xy 4.504082 21.244278) (xy 4.456292 21.290079) (xy 4.412401 21.322347)
(xy 4.353887 21.352571) (xy 4.319181 21.366044) (xy 4.26072 21.389492) (xy 4.22407 21.414172)
(xy 4.207284 21.435546) (xy 4.18547 21.462505) (xy 4.163851 21.473467) (xy 4.163159 21.473441)
(xy 4.136713 21.478066) (xy 4.099336 21.491313) (xy 4.092222 21.494435) (xy 4.018939 21.52222)
(xy 3.954238 21.53259) (xy 3.885983 21.527298) (xy 3.876491 21.525603) (xy 3.823107 21.523736)
(xy 3.774743 21.541587) (xy 3.741694 21.555929) (xy 3.719538 21.559275) (xy 3.716737 21.557886)
(xy 3.717412 21.542469) (xy 3.723215 21.502218) (xy 3.733636 21.439952) (xy 3.748163 21.35849)
(xy 3.766286 21.260651) (xy 3.787493 21.149254) (xy 3.811274 21.027117) (xy 3.831172 20.926749)
(xy 3.847341 20.846156) (xy 4.588385 20.846156) (xy 4.591819 20.854042) (xy 4.606027 20.869191)
(xy 4.614195 20.866083) (xy 4.614333 20.864111) (xy 4.60431 20.852175) (xy 4.598041 20.847819)
(xy 4.588385 20.846156) (xy 3.847341 20.846156) (xy 3.861189 20.777133) (xy 3.877114 20.698806)
(xy 4.405316 20.698806) (xy 4.418006 20.722045) (xy 4.433973 20.728108) (xy 4.444503 20.725185)
(xy 4.438768 20.722167) (xy 4.452055 20.722167) (xy 4.493501 20.768028) (xy 4.523597 20.800088)
(xy 4.539235 20.813032) (xy 4.543776 20.809631) (xy 4.543777 20.809473) (xy 4.53433 20.79826)
(xy 4.510525 20.775178) (xy 4.497916 20.763612) (xy 4.452055 20.722167) (xy 4.438768 20.722167)
(xy 4.434416 20.719877) (xy 4.419752 20.701632) (xy 4.415663 20.682185) (xy 4.412275 20.665337)
(xy 4.407277 20.669716) (xy 4.405316 20.698806) (xy 3.877114 20.698806) (xy 3.886424 20.65302)
(xy 3.907405 20.552196) (xy 3.919657 20.49557) (xy 4.335282 20.49557) (xy 4.337184 20.509944)
(xy 4.348215 20.539291) (xy 4.364302 20.575001) (xy 4.381372 20.608467) (xy 4.395354 20.63108)
(xy 4.401531 20.635616) (xy 4.399331 20.621101) (xy 4.387432 20.589197) (xy 4.374444 20.559889)
(xy 4.355448 20.522473) (xy 4.340873 20.499462) (xy 4.335282 20.49557) (xy 3.919657 20.49557)
(xy 3.924661 20.472446) (xy 3.938721 20.411554) (xy 3.950112 20.367307) (xy 3.959364 20.337489)
(xy 3.967004 20.319886) (xy 3.973561 20.312283) (xy 3.977908 20.311757) (xy 4.000404 20.316945)) (layer F.Mask) (width 0.01))
(fp_poly (pts (xy 1.16456 20.373148) (xy 1.185414 20.373528) (xy 1.474774 20.379228) (xy 1.551378 20.645489)
(xy 1.57756 20.737088) (xy 1.596454 20.805504) (xy 1.608761 20.854622) (xy 1.615182 20.888327)
(xy 1.61642 20.910504) (xy 1.613176 20.925037) (xy 1.606152 20.935811) (xy 1.604213 20.938014)
(xy 1.585427 20.972844) (xy 1.580444 21.000443) (xy 1.572118 21.031156) (xy 1.545166 21.045461)
(xy 1.517513 21.060629) (xy 1.509888 21.091499) (xy 1.499195 21.126916) (xy 1.465275 21.166481)
(xy 1.453444 21.176996) (xy 1.414844 21.217072) (xy 1.397581 21.251588) (xy 1.397 21.257761)
(xy 1.388284 21.291193) (xy 1.375833 21.307778) (xy 1.358081 21.335878) (xy 1.354666 21.354595)
(xy 1.345392 21.383413) (xy 1.322439 21.417809) (xy 1.315861 21.425251) (xy 1.293579 21.450119)
(xy 1.29044 21.458039) (xy 1.30553 21.451923) (xy 1.307877 21.450718) (xy 1.338265 21.437219)
(xy 1.35172 21.441728) (xy 1.355504 21.467717) (xy 1.355684 21.473583) (xy 1.358784 21.484408)
(xy 1.366433 21.468603) (xy 1.377974 21.427722) (xy 1.398505 21.366803) (xy 1.424058 21.322136)
(xy 1.433401 21.311982) (xy 1.457407 21.282229) (xy 1.467553 21.253937) (xy 1.467555 21.253656)
(xy 1.479615 21.227965) (xy 1.495777 21.219022) (xy 1.519137 21.200675) (xy 1.524 21.175043)
(xy 1.529995 21.146852) (xy 1.551401 21.138461) (xy 1.552956 21.138445) (xy 1.58063 21.125621)
(xy 1.596773 21.095813) (xy 1.615502 21.060986) (xy 1.638373 21.038872) (xy 1.659481 21.029579)
(xy 1.665111 21.029726) (xy 1.668918 21.043903) (xy 1.679825 21.082499) (xy 1.697061 21.142829)
(xy 1.719853 21.222209) (xy 1.747429 21.317956) (xy 1.779019 21.427384) (xy 1.813848 21.54781)
(xy 1.848555 21.667611) (xy 1.88579 21.796432) (xy 1.920492 21.917242) (xy 1.951882 22.027283)
(xy 1.979184 22.123793) (xy 2.001621 22.204014) (xy 2.018416 22.265186) (xy 2.028791 22.30455)
(xy 2.032 22.319111) (xy 2.026545 22.32608) (xy 2.007993 22.331183) (xy 1.973063 22.334664)
(xy 1.918475 22.336769) (xy 1.840949 22.337744) (xy 1.781241 22.337889) (xy 1.530483 22.337889)
(xy 1.445066 22.080361) (xy 1.359648 21.822833) (xy 0.98172 21.822833) (xy 0.80771 22.330833)
(xy 0.561743 22.334676) (xy 0.481203 22.335448) (xy 0.410711 22.335201) (xy 0.355008 22.334026)
(xy 0.318833 22.332015) (xy 0.307027 22.329769) (xy 0.303452 22.310656) (xy 0.30587 22.301232)
(xy 0.301344 22.285668) (xy 0.271809 22.278142) (xy 0.229305 22.277654) (xy 0.212909 22.271476)
(xy 0.211666 22.267573) (xy 0.217179 22.252289) (xy 0.232709 22.214761) (xy 0.25674 22.158506)
(xy 0.287759 22.087039) (xy 0.324251 22.003877) (xy 0.361431 21.919891) (xy 0.402517 21.827382)
(xy 0.440448 21.741839) (xy 0.473516 21.667126) (xy 0.500012 21.607106) (xy 0.518228 21.565643)
(xy 0.526013 21.547667) (xy 0.53459 21.530553) (xy 0.540658 21.531519) (xy 0.546215 21.55405)
(xy 0.552087 21.593184) (xy 0.562476 21.644794) (xy 0.576983 21.690012) (xy 0.586688 21.709609)
(xy 0.603292 21.751915) (xy 0.609992 21.80558) (xy 0.606834 21.859486) (xy 0.593863 21.902517)
(xy 0.58585 21.914292) (xy 0.569391 21.944857) (xy 0.568992 21.988032) (xy 0.56996 21.994813)
(xy 0.572527 22.032994) (xy 0.564846 22.065962) (xy 0.543556 22.099174) (xy 0.505293 22.138087)
(xy 0.455083 22.181238) (xy 0.414198 22.21601) (xy 0.383379 22.243985) (xy 0.36781 22.260394)
(xy 0.366888 22.262322) (xy 0.378602 22.265217) (xy 0.404562 22.260937) (xy 0.429622 22.246671)
(xy 0.466902 22.216235) (xy 0.510774 22.175322) (xy 0.555608 22.129621) (xy 0.595776 22.084824)
(xy 0.625647 22.046622) (xy 0.638375 22.024562) (xy 0.640216 21.992516) (xy 0.629649 21.951766)
(xy 0.628967 21.950105) (xy 0.617097 21.915205) (xy 0.619122 21.892302) (xy 0.628671 21.87684)
(xy 0.646067 21.832845) (xy 0.646422 21.775763) (xy 0.630403 21.714482) (xy 0.613941 21.680634)
(xy 0.588821 21.628037) (xy 0.568252 21.568562) (xy 0.563623 21.549985) (xy 0.559982 21.530861)
(xy 0.558125 21.511664) (xy 0.558737 21.489471) (xy 0.562509 21.461357) (xy 0.570126 21.4244)
(xy 0.582277 21.375676) (xy 0.59965 21.31226) (xy 0.622933 21.23123) (xy 0.652812 21.129661)
(xy 0.689977 21.00463) (xy 0.699178 20.973757) (xy 0.733589 20.85792) (xy 0.765613 20.749372)
(xy 0.794302 20.651379) (xy 0.81871 20.567206) (xy 0.83789 20.500118) (xy 0.850896 20.453381)
(xy 0.85678 20.430259) (xy 0.856864 20.429815) (xy 0.867534 20.397128) (xy 0.879951 20.379646)
(xy 0.89839 20.376343) (xy 0.940554 20.373952) (xy 1.00196 20.372563) (xy 1.078123 20.372265)
(xy 1.16456 20.373148)) (layer F.Mask) (width 0.01))
(fp_poly (pts (xy -1.98751 20.199062) (xy -1.972839 20.235942) (xy -1.955015 20.288716) (xy -1.935624 20.351745)
(xy -1.916249 20.419388) (xy -1.898474 20.486008) (xy -1.883883 20.545965) (xy -1.87406 20.59362)
(xy -1.870589 20.623333) (xy -1.872066 20.630085) (xy -1.889238 20.637319) (xy -1.928563 20.650171)
(xy -1.984902 20.667069) (xy -2.053117 20.686442) (xy -2.084851 20.69515) (xy -2.165533 20.717695)
(xy -2.22202 20.735203) (xy -2.257618 20.748969) (xy -2.275637 20.760288) (xy -2.279383 20.770455)
(xy -2.279173 20.771231) (xy -2.269768 20.803018) (xy -2.254413 20.856855) (xy -2.233962 20.929624)
(xy -2.209269 21.018203) (xy -2.181189 21.119472) (xy -2.150576 21.230313) (xy -2.118286 21.347605)
(xy -2.085171 21.468227) (xy -2.052088 21.589061) (xy -2.01989 21.706986) (xy -1.989431 21.818881)
(xy -1.961567 21.921628) (xy -1.937151 22.012106) (xy -1.917039 22.087195) (xy -1.902084 22.143775)
(xy -1.893141 22.178727) (xy -1.890889 22.18898) (xy -1.902552 22.209389) (xy -1.915584 22.21626)
(xy -1.956181 22.227956) (xy -2.010851 22.243353) (xy -2.073752 22.26085) (xy -2.139045 22.278845)
(xy -2.200891 22.295736) (xy -2.253448 22.30992) (xy -2.290878 22.319797) (xy -2.30734 22.323763)
(xy -2.307541 22.323778) (xy -2.318199 22.312542) (xy -2.324543 22.299191) (xy -2.33589 22.238996)
(xy -2.320512 22.184075) (xy -2.278239 22.132247) (xy -2.248629 22.104412) (xy -2.239191 22.093124)
(xy -2.248898 22.096299) (xy -2.264834 22.105044) (xy -2.301922 22.130153) (xy -2.33079 22.156112)
(xy -2.336036 22.163389) (xy -2.340272 22.170188) (xy -2.344158 22.174458) (xy -2.348357 22.174152)
(xy -2.353531 22.167223) (xy -2.360341 22.15162) (xy -2.36945 22.125298) (xy -2.38152 22.086206)
(xy -2.397212 22.032298) (xy -2.417188 21.961524) (xy -2.442111 21.871837) (xy -2.472641 21.761189)
(xy -2.509442 21.627531) (xy -2.553174 21.468815) (xy -2.554778 21.463) (xy -2.588632 21.3408)
(xy -2.620419 21.227089) (xy -2.649313 21.124743) (xy -2.67449 21.036638) (xy -2.695125 20.965649)
(xy -2.710393 20.914652) (xy -2.71947 20.886523) (xy -2.721458 20.881899) (xy -2.737506 20.882144)
(xy -2.775668 20.889226) (xy -2.830973 20.902038) (xy -2.898453 20.919476) (xy -2.933156 20.92901)
(xy -3.004765 20.948112) (xy -3.066681 20.96286) (xy -3.113903 20.972199) (xy -3.141426 20.975075)
(xy -3.146138 20.973889) (xy -3.153565 20.956499) (xy -3.165883 20.918408) (xy -3.181544 20.865382)
(xy -3.199001 20.803186) (xy -3.216705 20.737587) (xy -3.23311 20.674351) (xy -3.246388 20.620378)
(xy -2.34017 20.620378) (xy -2.336737 20.628264) (xy -2.322528 20.643413) (xy -2.31436 20.640306)
(xy -2.314223 20.638333) (xy -2.324246 20.626397) (xy -2.330514 20.622041) (xy -2.34017 20.620378)
(xy -3.246388 20.620378) (xy -3.246667 20.619244) (xy -3.248881 20.609278) (xy -2.441223 20.609278)
(xy -2.434167 20.616333) (xy -2.427112 20.609278) (xy -2.434167 20.602222) (xy -2.441223 20.609278)
(xy -3.248881 20.609278) (xy -3.252018 20.595167) (xy -2.469445 20.595167) (xy -2.462389 20.602222)
(xy -2.456752 20.596585) (xy -2.23042 20.596585) (xy -2.210908 20.598812) (xy -2.201334 20.598948)
(xy -2.175661 20.597477) (xy -2.172636 20.593814) (xy -2.176639 20.592495) (xy -2.212428 20.590301)
(xy -2.226028 20.592495) (xy -2.23042 20.596585) (xy -2.456752 20.596585) (xy -2.455334 20.595167)
(xy -2.462389 20.588111) (xy -2.469445 20.595167) (xy -3.252018 20.595167) (xy -3.255828 20.578031)
(xy -3.259046 20.556478) (xy -3.258653 20.554734) (xy -3.243569 20.549238) (xy -3.206316 20.537916)
(xy -3.167281 20.526665) (xy -2.102556 20.526665) (xy -2.091658 20.524554) (xy -2.064007 20.511458)
(xy -2.046269 20.501838) (xy -2.014233 20.481965) (xy -1.997055 20.467575) (xy -1.996138 20.464047)
(xy -2.010212 20.467061) (xy -2.037616 20.480611) (xy -2.068615 20.498968) (xy -2.093475 20.516406)
(xy -2.102556 20.526665) (xy -3.167281 20.526665) (xy -3.151983 20.522256) (xy -3.085658 20.503746)
(xy -3.062112 20.497294) (xy -2.999055 20.47951) (xy -2.914494 20.454796) (xy -2.813817 20.424772)
(xy -2.702416 20.391062) (xy -2.585678 20.355288) (xy -2.468995 20.319071) (xy -2.443485 20.311086)
(xy -2.33827 20.278474) (xy -2.241317 20.249151) (xy -2.155995 20.224077) (xy -2.08567 20.204214)
(xy -2.033712 20.190525) (xy -2.003489 20.183971) (xy -1.997446 20.183714) (xy -1.98751 20.199062)) (layer F.Mask) (width 0.01))
(fp_poly (pts (xy -1.143 20.486511) (xy -1.1445 20.543884) (xy -1.148503 20.590721) (xy -1.154268 20.619667)
(xy -1.156929 20.624618) (xy -1.16514 20.645127) (xy -1.172761 20.684824) (xy -1.177666 20.729745)
(xy -1.183535 20.788109) (xy -1.191618 20.844032) (xy -1.197918 20.875586) (xy -1.203775 20.906475)
(xy -1.202537 20.91924) (xy -1.200083 20.91792) (xy -1.186467 20.893479) (xy -1.171612 20.853167)
(xy -1.158348 20.807043) (xy -1.149505 20.765169) (xy -1.147915 20.737605) (xy -1.148607 20.734964)
(xy -1.148734 20.713963) (xy -1.141608 20.68236) (xy -1.130452 20.649519) (xy -1.118487 20.624804)
(xy -1.108935 20.617578) (xy -1.108374 20.618034) (xy -1.107749 20.633148) (xy -1.109067 20.672666)
(xy -1.112122 20.732856) (xy -1.116706 20.809982) (xy -1.122611 20.900309) (xy -1.129453 20.997673)
(xy -1.145347 21.271979) (xy -1.1544 21.564437) (xy -1.156839 21.825207) (xy -1.157164 21.936428)
(xy -1.157958 22.039158) (xy -1.159154 22.129844) (xy -1.160687 22.204935) (xy -1.162491 22.260877)
(xy -1.1645 22.294117) (xy -1.165677 22.301457) (xy -1.172649 22.31011) (xy -1.188597 22.316245)
(xy -1.217632 22.32027) (xy -1.263869 22.322591) (xy -1.331419 22.323615) (xy -1.391455 22.323778)
(xy -1.608667 22.323778) (xy -1.608667 21.705178) (xy -1.608432 21.557963) (xy -1.60776 21.404541)
(xy -1.606706 21.250487) (xy -1.605406 21.110222) (xy -1.312334 21.110222) (xy -1.307171 21.121837)
(xy -1.302926 21.11963) (xy -1.301238 21.102883) (xy -1.302926 21.100815) (xy -1.311315 21.102752)
(xy -1.312334 21.110222) (xy -1.605406 21.110222) (xy -1.605323 21.101378) (xy -1.604179 21.005902)
(xy -1.269893 21.005902) (xy -1.269479 21.030491) (xy -1.264547 21.039667) (xy -1.258907 21.027468)
(xy -1.252996 20.998131) (xy -1.252989 20.998079) (xy -1.251999 20.971292) (xy -1.257962 20.96337)
(xy -1.258443 20.963634) (xy -1.265855 20.97914) (xy -1.269893 21.005902) (xy -1.604179 21.005902)
(xy -1.603662 20.962789) (xy -1.601778 20.840294) (xy -1.599723 20.739469) (xy -1.599349 20.724456)
(xy -1.590031 20.362333) (xy -1.143 20.362333) (xy -1.143 20.486511)) (layer F.Mask) (width 0.01))
(fp_poly (pts (xy -0.467109 20.339651) (xy -0.465836 20.339812) (xy -0.386437 20.356332) (xy -0.307562 20.383023)
(xy -0.239683 20.415897) (xy -0.206667 20.43849) (xy -0.180895 20.462987) (xy -0.175079 20.48243)
(xy -0.182754 20.502154) (xy -0.191884 20.538823) (xy -0.189285 20.563718) (xy -0.179451 20.594703)
(xy -0.166893 20.560755) (xy -0.154334 20.526807) (xy -0.097649 20.582153) (xy -0.036718 20.65349)
(xy 0.004952 20.732226) (xy 0.029812 20.824533) (xy 0.040023 20.928645) (xy 0.042282 20.991567)
(xy 0.042238 21.031728) (xy 0.038826 21.054564) (xy 0.030984 21.065509) (xy 0.017646 21.069999)
(xy 0.012176 21.070938) (xy -0.024594 21.0658) (xy -0.050633 21.050648) (xy -0.069322 21.036209)
(xy -0.081815 21.0374) (xy -0.094798 21.058098) (xy -0.106232 21.082883) (xy -0.133898 21.128476)
(xy -0.172144 21.173746) (xy -0.186578 21.187242) (xy -0.24079 21.233645) (xy -0.175838 21.25)
(xy -0.076588 21.288666) (xy 0.006704 21.350355) (xy 0.073545 21.434479) (xy 0.123439 21.540452)
(xy 0.149957 21.63638) (xy 0.162907 21.757393) (xy 0.151954 21.873595) (xy 0.118861 21.981472)
(xy 0.065392 22.077508) (xy -0.006692 22.158188) (xy -0.095626 22.219997) (xy -0.156026 22.24645)
(xy -0.194414 22.258289) (xy -0.220056 22.258167) (xy -0.246359 22.244731) (xy -0.259018 22.236061)
(xy -0.304492 22.198497) (xy -0.342161 22.152084) (xy -0.366889 22.11216) (xy -0.401921 22.053374)
(xy -0.427783 22.015826) (xy -0.447914 21.995508) (xy -0.465753 21.988408) (xy -0.47302 21.988372)
(xy -0.50708 21.980261) (xy -0.547676 21.956096) (xy -0.585212 21.922984) (xy -0.610092 21.888026)
(xy -0.610842 21.886333) (xy -0.625928 21.851056) (xy -0.631741 21.885058) (xy -0.627695 21.918609)
(xy -0.61511 21.93162) (xy -0.595996 21.947936) (xy -0.592667 21.955944) (xy -0.604599 21.969449)
(xy -0.632326 21.976643) (xy -0.663743 21.975478) (xy -0.67835 21.970456) (xy -0.712661 21.966554)
(xy -0.743097 21.978149) (xy -0.764082 21.991978) (xy -0.763833 21.998941) (xy -0.761295 21.999135)
(xy -0.732372 21.990352) (xy -0.724714 21.984513) (xy -0.706036 21.979586) (xy -0.691941 21.991569)
(xy -0.665737 22.007022) (xy -0.628914 22.013333) (xy -0.588254 22.019302) (xy -0.557673 22.032548)
(xy -0.526751 22.045417) (xy -0.507238 22.045445) (xy -0.48163 22.051571) (xy -0.457223 22.07671)
(xy -0.440739 22.112194) (xy -0.437445 22.135413) (xy -0.428124 22.163414) (xy -0.404401 22.199793)
(xy -0.387873 22.219108) (xy -0.360889 22.249833) (xy -0.345544 22.271519) (xy -0.344125 22.277495)
(xy -0.358918 22.279966) (xy -0.39677 22.283982) (xy -0.452585 22.289141) (xy -0.521269 22.295038)
(xy -0.597724 22.301272) (xy -0.676857 22.307439) (xy -0.75357 22.313136) (xy -0.822769 22.317961)
(xy -0.879358 22.321511) (xy -0.918241 22.323382) (xy -0.926791 22.323566) (xy -0.945313 22.320023)
(xy -0.956268 22.304555) (xy -0.963223 22.270396) (xy -0.965715 22.249695) (xy -0.968804 22.216492)
(xy -0.973462 22.159503) (xy -0.979359 22.083098) (xy -0.986165 21.991648) (xy -0.993551 21.889525)
(xy -0.996259 21.851056) (xy -0.663223 21.851056) (xy -0.656167 21.858111) (xy -0.649112 21.851056)
(xy -0.656167 21.844) (xy -0.663223 21.851056) (xy -0.996259 21.851056) (xy -1.001185 21.781099)
(xy -1.002389 21.763726) (xy -1.030873 21.351841) (xy -0.97052 21.294884) (xy -0.93346 21.263833)
(xy -0.900646 21.242999) (xy -0.884152 21.237575) (xy -0.860046 21.225367) (xy -0.850547 21.2082)
(xy -0.833138 21.184178) (xy -0.79766 21.158711) (xy -0.780998 21.150054) (xy -0.736802 21.124306)
(xy -0.69948 21.094272) (xy -0.690003 21.083826) (xy -0.655026 21.051795) (xy -0.616234 21.030157)
(xy -0.592336 21.019193) (xy -0.589749 21.013006) (xy -0.592667 21.012605) (xy -0.619844 21.018123)
(xy -0.652639 21.031861) (xy -0.679543 21.044236) (xy -0.68987 21.040977) (xy -0.691445 21.024759)
(xy -0.682399 20.999251) (xy -0.659237 20.963703) (xy -0.640414 20.94093) (xy -0.614271 20.910012)
(xy -0.60035 20.889496) (xy -0.600277 20.884445) (xy -0.62661 20.895309) (xy -0.661288 20.923485)
(xy -0.697705 20.962347) (xy -0.729258 21.005267) (xy -0.740749 21.025387) (xy -0.765546 21.065783)
(xy -0.791374 21.094865) (xy -0.804851 21.103331) (xy -0.832401 21.123126) (xy -0.847024 21.146438)
(xy -0.864621 21.171265) (xy -0.881873 21.171797) (xy -0.906313 21.174376) (xy -0.942712 21.191273)
(xy -0.96047 21.202578) (xy -0.997573 21.224271) (xy -1.023067 21.231259) (xy -1.02895 21.228928)
(xy -1.033099 21.211832) (xy -1.038523 21.170517) (xy -1.044842 21.108937) (xy -1.051677 21.031045)
(xy -1.058649 20.940797) (xy -1.064009 20.863278) (xy -1.067007 20.818538) (xy -0.20311 20.818538)
(xy -0.190423 20.906057) (xy -0.158338 20.979695) (xy -0.136627 21.002417) (xy -0.114333 21.011505)
(xy -0.100194 21.004437) (xy -0.098778 20.99688) (xy -0.103861 20.975274) (xy -0.116432 20.940265)
(xy -0.119945 20.931657) (xy -0.131825 20.886962) (xy -0.139377 20.824956) (xy -0.141328 20.77336)
(xy -0.143659 20.713916) (xy -0.149695 20.666613) (xy -0.158385 20.636196) (xy -0.168678 20.627411)
(xy -0.172884 20.630853) (xy -0.178062 20.647154) (xy -0.186503 20.683029) (xy -0.195214 20.724931)
(xy -0.20311 20.818538) (xy -1.067007 20.818538) (xy -1.070803 20.761917) (xy -1.077485 20.665961)
(xy -1.083697 20.58027) (xy -1.089082 20.509705) (xy -1.093281 20.459129) (xy -1.095281 20.438591)
(xy -1.103376 20.366682) (xy -0.82116 20.348398) (xy -0.729398 20.343178) (xy -0.642323 20.339553)
(xy -0.565725 20.337664) (xy -0.505391 20.33765) (xy -0.467109 20.339651)) (layer F.Mask) (width 0.01))
(fp_poly (pts (xy 8.488798 10.747289) (xy 8.536113 10.772233) (xy 8.599885 10.811503) (xy 8.677512 10.863213)
(xy 8.766394 10.925479) (xy 8.863932 10.996416) (xy 8.967523 11.074139) (xy 9.074568 11.156763)
(xy 9.182466 11.242404) (xy 9.288617 11.329175) (xy 9.370389 11.398019) (xy 9.464754 11.48423)
(xy 9.552274 11.576871) (xy 9.63776 11.681637) (xy 9.726027 11.804224) (xy 9.773049 11.8745)
(xy 9.944348 12.115813) (xy 10.140854 12.35535) (xy 10.363982 12.594827) (xy 10.385384 12.616402)
(xy 10.51822 12.745929) (xy 10.640029 12.855651) (xy 10.756033 12.94884) (xy 10.871451 13.028768)
(xy 10.991504 13.098709) (xy 11.121411 13.161933) (xy 11.266392 13.221714) (xy 11.431669 13.281324)
(xy 11.463144 13.292008) (xy 11.55252 13.32335) (xy 11.641632 13.356795) (xy 11.723408 13.389533)
(xy 11.790775 13.418755) (xy 11.828321 13.437061) (xy 11.872458 13.463162) (xy 11.933985 13.503275)
(xy 12.00805 13.554041) (xy 12.089803 13.612101) (xy 12.174391 13.674094) (xy 12.218511 13.707243)
(xy 12.350955 13.80694) (xy 12.465289 13.89107) (xy 12.565243 13.961936) (xy 12.654552 14.021839)
(xy 12.736948 14.07308) (xy 12.816166 14.117963) (xy 12.895937 14.158788) (xy 12.979995 14.197858)
(xy 13.072074 14.237474) (xy 13.091533 14.245564) (xy 13.191725 14.289617) (xy 13.283873 14.336364)
(xy 13.375271 14.390065) (xy 13.473214 14.45498) (xy 13.574888 14.527915) (xy 13.660548 14.589757)
(xy 13.730072 14.635937) (xy 13.789155 14.668679) (xy 13.843494 14.690207) (xy 13.898784 14.702744)
(xy 13.96072 14.708514) (xy 14.034998 14.709741) (xy 14.040555 14.709715) (xy 14.14924 14.706029)
(xy 14.235757 14.69591) (xy 14.29085 14.683329) (xy 14.378868 14.657825) (xy 14.427639 14.695024)
(xy 14.474067 14.736018) (xy 14.532506 14.796223) (xy 14.599438 14.871285) (xy 14.671342 14.956848)
(xy 14.744701 15.04856) (xy 14.815994 15.142066) (xy 14.881703 15.233011) (xy 14.938309 15.317041)
(xy 14.965014 15.359945) (xy 15.033998 15.490865) (xy 15.086381 15.62755) (xy 15.123265 15.774666)
(xy 15.145748 15.936878) (xy 15.15493 16.118852) (xy 15.155276 16.167249) (xy 15.144985 16.365535)
(xy 15.113912 16.546128) (xy 15.061529 16.710988) (xy 14.987306 16.862079) (xy 14.953109 16.916524)
(xy 14.864625 17.033007) (xy 14.752614 17.15455) (xy 14.620648 17.27834) (xy 14.472303 17.401566)
(xy 14.311152 17.521413) (xy 14.140769 17.635069) (xy 13.964728 17.73972) (xy 13.793611 17.829158)
(xy 13.62152 17.908824) (xy 13.455767 17.976636) (xy 13.291677 18.03373) (xy 13.124575 18.08124)
(xy 12.949787 18.120302) (xy 12.762639 18.152049) (xy 12.558455 18.177616) (xy 12.332562 18.198139)
(xy 12.248444 18.204276) (xy 12.156121 18.209228) (xy 12.03847 18.213225) (xy 11.89837 18.216269)
(xy 11.738698 18.218362) (xy 11.562332 18.219505) (xy 11.372152 18.2197) (xy 11.171035 18.21895)
(xy 10.961859 18.217256) (xy 10.747502 18.214621) (xy 10.530843 18.211046) (xy 10.314759 18.206532)
(xy 10.168231 18.202891) (xy 9.886167 18.196303) (xy 9.630625 18.192286) (xy 9.400013 18.190895)
(xy 9.192744 18.192183) (xy 9.007229 18.196204) (xy 8.841877 18.203014) (xy 8.695101 18.212664)
(xy 8.565311 18.225211) (xy 8.450918 18.240707) (xy 8.350332 18.259207) (xy 8.321152 18.265689)
(xy 8.254357 18.280079) (xy 8.209458 18.286469) (xy 8.181333 18.285005) (xy 8.164858 18.275839)
(xy 8.160582 18.270361) (xy 8.158003 18.252729) (xy 8.155556 18.210051) (xy 8.153315 18.145507)
(xy 8.151352 18.062271) (xy 8.14974 17.963523) (xy 8.14855 17.852439) (xy 8.147855 17.732196)
(xy 8.147787 17.709239) (xy 8.147199 17.580508) (xy 8.146135 17.454193) (xy 8.144666 17.334613)
(xy 8.142864 17.226083) (xy 8.1408 17.13292) (xy 8.138546 17.059439) (xy 8.136225 17.010739)
(xy 8.127491 16.895881) (xy 8.115869 16.775301) (xy 8.101028 16.647056) (xy 8.082639 16.509202)
(xy 8.060373 16.359794) (xy 8.0339 16.19689) (xy 8.002892 16.018545) (xy 7.967018 15.822814)
(xy 7.92595 15.607755) (xy 7.879358 15.371422) (xy 7.826912 15.111873) (xy 7.768284 14.827162)
(xy 7.757307 14.774333) (xy 7.656028 14.2875) (xy 7.657046 13.215056) (xy 7.657336 12.997942)
(xy 7.657831 12.806938) (xy 7.658632 12.63993) (xy 7.659836 12.494807) (xy 7.661545 12.369455)
(xy 7.663855 12.261761) (xy 7.666868 12.169614) (xy 7.670681 12.090901) (xy 7.675393 12.023508)
(xy 7.681105 11.965324) (xy 7.687914 11.914235) (xy 7.695921 11.86813) (xy 7.705223 11.824894)
(xy 7.715921 11.782417) (xy 7.72594 11.74619) (xy 7.757351 11.653795) (xy 7.802124 11.546621)
(xy 7.856452 11.432287) (xy 7.916525 11.318412) (xy 7.978534 11.212614) (xy 8.035705 11.126611)
(xy 8.110314 11.028998) (xy 8.185888 10.941268) (xy 8.259577 10.866023) (xy 8.328531 10.805866)
(xy 8.389902 10.7634) (xy 8.44084 10.741227) (xy 8.46054 10.738556) (xy 8.488798 10.747289)) (layer F.Mask) (width 0.01))
(fp_poly (pts (xy -3.902078 -1.992341) (xy -3.869219 -1.970124) (xy -3.822189 -1.93272) (xy -3.758851 -1.878694)
(xy -3.68157 -1.810612) (xy -3.582972 -1.722447) (xy -3.464971 -1.616036) (xy -3.328732 -1.492461)
(xy -3.175418 -1.352805) (xy -3.006195 -1.198147) (xy -2.822224 -1.02957) (xy -2.624672 -0.848155)
(xy -2.414701 -0.654984) (xy -2.193475 -0.451139) (xy -1.962158 -0.2377) (xy -1.721915 -0.015749)
(xy -1.473909 0.213632) (xy -1.219304 0.449361) (xy -0.959264 0.690358) (xy -0.694954 0.93554)
(xy -0.427536 1.183826) (xy -0.158175 1.434135) (xy 0.111965 1.685385) (xy 0.38172 1.936494)
(xy 0.649926 2.186382) (xy 0.91542 2.433966) (xy 1.177037 2.678165) (xy 1.433614 2.917897)
(xy 1.683986 3.152082) (xy 1.926989 3.379637) (xy 2.16146 3.599481) (xy 2.386235 3.810532)
(xy 2.60015 4.011709) (xy 2.80204 4.20193) (xy 2.990742 4.380115) (xy 3.165092 4.54518)
(xy 3.323926 4.696046) (xy 3.438041 4.804833) (xy 3.497681 4.864545) (xy 3.536772 4.909776)
(xy 3.555117 4.939813) (xy 3.55252 4.953944) (xy 3.528783 4.951458) (xy 3.483709 4.931642)
(xy 3.471333 4.925115) (xy 3.423805 4.894774) (xy 3.356599 4.844402) (xy 3.2697 4.773986)
(xy 3.163093 4.683514) (xy 3.036764 4.572973) (xy 2.890697 4.442351) (xy 2.724879 4.291634)
(xy 2.539294 4.120809) (xy 2.469444 4.056061) (xy 1.984806 3.605961) (xy 1.52077 3.174769)
(xy 1.077148 2.762305) (xy 0.65375 2.368392) (xy 0.250387 1.992852) (xy -0.133128 1.635507)
(xy -0.496986 1.296179) (xy -0.841375 0.974689) (xy -1.166484 0.670861) (xy -1.472501 0.384515)
(xy -1.759615 0.115474) (xy -2.028017 -0.136439) (xy -2.277893 -0.371404) (xy -2.509433 -0.589598)
(xy -2.722826 -0.791199) (xy -2.918261 -0.976385) (xy -3.095926 -1.145334) (xy -3.256011 -1.298224)
(xy -3.398704 -1.435233) (xy -3.524194 -1.556539) (xy -3.63267 -1.662321) (xy -3.72432 -1.752755)
(xy -3.799335 -1.82802) (xy -3.857901 -1.888294) (xy -3.900209 -1.933755) (xy -3.926447 -1.964581)
(xy -3.936804 -1.98095) (xy -3.937 -1.982226) (xy -3.933833 -1.996957) (xy -3.922904 -2.000807)
(xy -3.902078 -1.992341)) (layer F.Mask) (width 0.01))
(fp_poly (pts (xy -2.852627 -3.040773) (xy -2.823606 -3.023323) (xy -2.815964 -3.017934) (xy -2.794212 -3.000147)
(xy -2.752796 -2.963881) (xy -2.692621 -2.90998) (xy -2.61459 -2.839289) (xy -2.519611 -2.75265)
(xy -2.408587 -2.650909) (xy -2.282424 -2.53491) (xy -2.142028 -2.405496) (xy -1.988302 -2.263512)
(xy -1.822152 -2.109801) (xy -1.644483 -1.945209) (xy -1.456201 -1.770578) (xy -1.25821 -1.586754)
(xy -1.051416 -1.394579) (xy -0.836723 -1.194899) (xy -0.615037 -0.988557) (xy -0.387263 -0.776397)
(xy -0.154305 -0.559264) (xy 0.082931 -0.338002) (xy 0.323539 -0.113454) (xy 0.566616 0.113535)
(xy 0.811255 0.342121) (xy 1.056552 0.57146) (xy 1.301601 0.800708) (xy 1.545498 1.029021)
(xy 1.787338 1.255555) (xy 2.026215 1.479465) (xy 2.261224 1.699908) (xy 2.49146 1.916039)
(xy 2.716018 2.127015) (xy 2.933993 2.331991) (xy 3.144481 2.530123) (xy 3.346575 2.720568)
(xy 3.53937 2.90248) (xy 3.721962 3.075016) (xy 3.893446 3.237332) (xy 4.019474 3.356837)
(xy 4.546449 3.856977) (xy 4.537294 3.935794) (xy 4.519477 4.040643) (xy 4.489655 4.141694)
(xy 4.4454 4.244737) (xy 4.384285 4.355559) (xy 4.317604 4.459699) (xy 4.208116 4.607182)
(xy 4.094445 4.729482) (xy 3.995203 4.813832) (xy 3.951932 4.8458) (xy 3.917427 4.869826)
(xy 3.897645 4.881807) (xy 3.895579 4.882445) (xy 3.882626 4.873462) (xy 3.852446 4.848591)
(xy 3.808675 4.810948) (xy 3.754952 4.76365) (xy 3.710712 4.72407) (xy 3.498913 4.532773)
(xy 3.267185 4.322383) (xy 3.015988 4.093322) (xy 2.74578 3.846012) (xy 2.457021 3.580875)
(xy 2.15017 3.298334) (xy 1.825684 2.99881) (xy 1.484024 2.682726) (xy 1.125648 2.350504)
(xy 0.751015 2.002566) (xy 0.667854 1.925249) (xy 0.584816 1.848034) (xy 0.482721 1.753105)
(xy 0.363185 1.641962) (xy 0.227822 1.516106) (xy 0.078245 1.377037) (xy -0.083929 1.226258)
(xy -0.257089 1.065268) (xy -0.439618 0.895568) (xy -0.629903 0.71866) (xy -0.826329 0.536043)
(xy -1.027283 0.34922) (xy -1.231149 0.15969) (xy -1.436314 -0.031045) (xy -1.641163 -0.221485)
(xy -1.78998 -0.359833) (xy -1.981782 -0.53823) (xy -2.168027 -0.711634) (xy -2.347661 -0.879053)
(xy -2.519628 -1.039499) (xy -2.682873 -1.191982) (xy -2.836341 -1.33551) (xy -2.978978 -1.469096)
(xy -3.109729 -1.591748) (xy -3.227537 -1.702476) (xy -3.33135 -1.800291) (xy -3.420111 -1.884203)
(xy -3.492765 -1.953222) (xy -3.548258 -2.006358) (xy -3.585535 -2.042621) (xy -3.603541 -2.061021)
(xy -3.60509 -2.063045) (xy -3.607738 -2.082978) (xy -3.600845 -2.107675) (xy -3.582476 -2.139829)
(xy -3.5507 -2.182135) (xy -3.503582 -2.23729) (xy -3.439191 -2.307986) (xy -3.397192 -2.352899)
(xy -3.268164 -2.496029) (xy -3.160332 -2.629196) (xy -3.070506 -2.756638) (xy -2.995493 -2.882596)
(xy -2.98813 -2.896314) (xy -2.957568 -2.949593) (xy -2.927536 -2.994761) (xy -2.902979 -3.024619)
(xy -2.895845 -3.030633) (xy -2.872995 -3.042619) (xy -2.852627 -3.040773)) (layer F.Mask) (width 0.01))
(fp_poly (pts (xy -3.576251 -3.667379) (xy -3.563182 -3.662631) (xy -3.490207 -3.62118) (xy -3.436827 -3.561426)
(xy -3.403146 -3.485477) (xy -3.389268 -3.395442) (xy -3.395295 -3.293426) (xy -3.421331 -3.181538)
(xy -3.467479 -3.061884) (xy -3.528048 -2.946314) (xy -3.574416 -2.878718) (xy -3.637724 -2.801711)
(xy -3.71163 -2.721835) (xy -3.789793 -2.645631) (xy -3.865873 -2.579641) (xy -3.927327 -2.534389)
(xy -4.032015 -2.475031) (xy -4.135963 -2.432888) (xy -4.234714 -2.409065) (xy -4.323812 -2.404667)
(xy -4.393675 -2.418816) (xy -4.461892 -2.45667) (xy -4.521626 -2.512782) (xy -4.553845 -2.560324)
(xy -4.567034 -2.590059) (xy -4.563641 -2.60872) (xy -4.542002 -2.629064) (xy -4.524106 -2.641252)
(xy -4.502767 -2.648541) (xy -4.471719 -2.651573) (xy -4.4247 -2.650992) (xy -4.360334 -2.647725)
(xy -4.285799 -2.6445) (xy -4.231088 -2.645333) (xy -4.188018 -2.650832) (xy -4.148401 -2.661602)
(xy -4.141612 -2.663934) (xy -4.049454 -2.706689) (xy -3.952288 -2.769665) (xy -3.856427 -2.847228)
(xy -3.768183 -2.933748) (xy -3.69387 -3.023591) (xy -3.639799 -3.111127) (xy -3.639351 -3.112034)
(xy -3.615065 -3.164239) (xy -3.60073 -3.20625) (xy -3.59385 -3.249539) (xy -3.59193 -3.305577)
(xy -3.591966 -3.329925) (xy -3.593902 -3.396425) (xy -3.600225 -3.445642) (xy -3.613055 -3.488423)
(xy -3.630633 -3.527778) (xy -3.659086 -3.595519) (xy -3.668034 -3.64303) (xy -3.657317 -3.670631)
(xy -3.626776 -3.678641) (xy -3.576251 -3.667379)) (layer F.Mask) (width 0.01))
(fp_poly (pts (xy -5.829455 -4.844134) (xy -5.796439 -4.825697) (xy -5.74362 -4.791114) (xy -5.671786 -4.74057)
(xy -5.583613 -4.676163) (xy -5.481778 -4.599986) (xy -5.368956 -4.514136) (xy -5.247823 -4.420707)
(xy -5.121056 -4.321795) (xy -4.991331 -4.219496) (xy -4.861323 -4.115904) (xy -4.73371 -4.013115)
(xy -4.611168 -3.913224) (xy -4.496372 -3.818327) (xy -4.391998 -3.730519) (xy -4.332112 -3.679188)
(xy -4.257286 -3.613474) (xy -4.180069 -3.543904) (xy -4.106899 -3.476399) (xy -4.044216 -3.41688)
(xy -4.009071 -3.382172) (xy -3.890642 -3.262086) (xy -3.984126 -3.144123) (xy -4.047282 -3.069296)
(xy -4.100166 -3.017843) (xy -4.145078 -2.98787) (xy -4.184322 -2.977487) (xy -4.186887 -2.977444)
(xy -4.213706 -2.984797) (xy -4.252598 -3.00357) (xy -4.276432 -3.017784) (xy -4.30813 -3.041253)
(xy -4.350388 -3.077926) (xy -4.404111 -3.128746) (xy -4.470204 -3.194655) (xy -4.549571 -3.276595)
(xy -4.643116 -3.375509) (xy -4.751744 -3.492339) (xy -4.876359 -3.628027) (xy -5.017866 -3.783515)
(xy -5.124818 -3.901722) (xy -5.271007 -4.063883) (xy -5.399074 -4.206561) (xy -5.510062 -4.330994)
(xy -5.605015 -4.438416) (xy -5.684976 -4.530063) (xy -5.750986 -4.607172) (xy -5.804091 -4.670977)
(xy -5.845331 -4.722715) (xy -5.875751 -4.763621) (xy -5.896394 -4.794931) (xy -5.908301 -4.817881)
(xy -5.912517 -4.833706) (xy -5.912556 -4.835051) (xy -5.90281 -4.857822) (xy -5.874598 -4.860808)
(xy -5.829455 -4.844134)) (layer F.Mask) (width 0.01))
(fp_poly (pts (xy -2.383437 -14.334425) (xy -2.295888 -14.331382) (xy -2.229906 -14.326068) (xy -2.220169 -14.324798)
(xy -2.167821 -14.318611) (xy -2.094922 -14.311691) (xy -2.009108 -14.30468) (xy -1.918018 -14.29822)
(xy -1.862667 -14.294796) (xy -1.716547 -14.285462) (xy -1.592443 -14.275425) (xy -1.484146 -14.263943)
(xy -1.385452 -14.250278) (xy -1.290151 -14.23369) (xy -1.2065 -14.216592) (xy -1.132553 -14.200945)
(xy -1.058245 -14.185805) (xy -0.994125 -14.173295) (xy -0.963077 -14.16761) (xy -0.921341 -14.15845)
(xy -0.857071 -14.141968) (xy -0.774611 -14.119446) (xy -0.678303 -14.09217) (xy -0.572492 -14.061423)
(xy -0.461521 -14.02849) (xy -0.349733 -13.994653) (xy -0.241473 -13.961198) (xy -0.141084 -13.929408)
(xy -0.052908 -13.900567) (xy -0.007056 -13.884999) (xy 0.186785 -13.812676) (xy 0.383842 -13.72932)
(xy 0.577954 -13.637986) (xy 0.762959 -13.54173) (xy 0.932697 -13.443608) (xy 1.081005 -13.346676)
(xy 1.087326 -13.342214) (xy 1.134811 -13.312354) (xy 1.183408 -13.287549) (xy 1.204513 -13.279211)
(xy 1.236038 -13.266445) (xy 1.286372 -13.243348) (xy 1.349404 -13.212835) (xy 1.419021 -13.177822)
(xy 1.443631 -13.165137) (xy 1.573651 -13.099585) (xy 1.707745 -13.035975) (xy 1.848939 -12.973099)
(xy 2.00026 -12.909752) (xy 2.164733 -12.844725) (xy 2.345385 -12.77681) (xy 2.54524 -12.704801)
(xy 2.767326 -12.627489) (xy 2.928055 -12.572801) (xy 3.142111 -12.499575) (xy 3.330779 -12.432664)
(xy 3.495892 -12.370944) (xy 3.639285 -12.31329) (xy 3.762794 -12.258577) (xy 3.868253 -12.20568)
(xy 3.957496 -12.153475) (xy 4.03236 -12.100837) (xy 4.094677 -12.04664) (xy 4.146284 -11.989762)
(xy 4.189015 -11.929075) (xy 4.224704 -11.863456) (xy 4.255187 -11.79178) (xy 4.270174 -11.750028)
(xy 4.295178 -11.660125) (xy 4.310019 -11.564649) (xy 4.314491 -11.460378) (xy 4.308386 -11.344095)
(xy 4.291499 -11.212578) (xy 4.263622 -11.062609) (xy 4.224548 -10.890967) (xy 4.211626 -10.838797)
(xy 4.182758 -10.72626) (xy 4.153501 -10.616343) (xy 4.124867 -10.512493) (xy 4.097868 -10.418155)
(xy 4.073515 -10.336777) (xy 4.052818 -10.271804) (xy 4.036791 -10.226683) (xy 4.026442 -10.204861)
(xy 4.025249 -10.203665) (xy 4.008668 -10.191893) (xy 3.975642 -10.168232) (xy 3.932615 -10.137304)
(xy 3.922136 -10.129759) (xy 3.823191 -10.063068) (xy 3.70834 -9.994375) (xy 3.575307 -9.922497)
(xy 3.421812 -9.846253) (xy 3.245578 -9.764461) (xy 3.061015 -9.683132) (xy 2.93289 -9.627612)
(xy 2.813822 -9.575581) (xy 2.706261 -9.528141) (xy 2.612662 -9.48639) (xy 2.535474 -9.45143)
(xy 2.477151 -9.424362) (xy 2.440144 -9.406285) (xy 2.427111 -9.398637) (xy 2.411373 -9.388981)
(xy 2.377723 -9.37206) (xy 2.342444 -9.355643) (xy 2.294269 -9.332272) (xy 2.232582 -9.300067)
(xy 2.168096 -9.264678) (xy 2.144888 -9.251456) (xy 2.05676 -9.202384) (xy 1.945516 -9.143327)
(xy 1.813895 -9.075613) (xy 1.664637 -9.000567) (xy 1.500482 -8.919517) (xy 1.32417 -8.833788)
(xy 1.138441 -8.744708) (xy 0.946035 -8.653603) (xy 0.749691 -8.561799) (xy 0.55215 -8.470623)
(xy 0.356151 -8.381401) (xy 0.239888 -8.329115) (xy -0.028936 -8.209586) (xy -0.274492 -8.102139)
(xy -0.498687 -8.006098) (xy -0.703424 -7.920784) (xy -0.890611 -7.845521) (xy -1.062151 -7.77963)
(xy -1.219951 -7.722435) (xy -1.365915 -7.673257) (xy -1.501949 -7.63142) (xy -1.629959 -7.596245)
(xy -1.75185 -7.567056) (xy -1.869526 -7.543175) (xy -1.984895 -7.523924) (xy -2.050362 -7.514761)
(xy -2.123003 -7.50741) (xy -2.212333 -7.501629) (xy -2.311222 -7.497557) (xy -2.412542 -7.495332)
(xy -2.509161 -7.495091) (xy -2.593951 -7.496972) (xy -2.659783 -7.501112) (xy -2.674056 -7.502721)
(xy -2.920881 -7.548309) (xy -3.156463 -7.619423) (xy -3.379906 -7.71574) (xy -3.576991 -7.82827)
(xy -3.628572 -7.863688) (xy -3.694638 -7.912355) (xy -3.772053 -7.971671) (xy -3.857682 -8.039039)
(xy -3.94839 -8.111863) (xy -4.041042 -8.187543) (xy -4.132504 -8.263483) (xy -4.219639 -8.337085)
(xy -4.299313 -8.405752) (xy -4.36839 -8.466886) (xy -4.423737 -8.517889) (xy -4.462217 -8.556164)
(xy -4.478035 -8.574829) (xy -4.506683 -8.628978) (xy -4.509224 -8.672796) (xy -4.485605 -8.706802)
(xy -4.462978 -8.720774) (xy -4.418323 -8.73365) (xy -4.349084 -8.741818) (xy -4.258502 -8.745273)
(xy -4.149819 -8.744013) (xy -4.026279 -8.738033) (xy -3.891122 -8.72733) (xy -3.832482 -8.72151)
(xy -3.632109 -8.70324) (xy -3.420126 -8.688986) (xy -3.201113 -8.678764) (xy -2.979652 -8.672593)
(xy -2.760322 -8.67049) (xy -2.547704 -8.672472) (xy -2.346378 -8.678555) (xy -2.160925 -8.688759)
(xy -1.995926 -8.703099) (xy -1.904731 -8.714239) (xy -1.716523 -8.746442) (xy -1.518567 -8.792231)
(xy -1.309053 -8.852244) (xy -1.086167 -8.927118) (xy -0.848095 -9.017489) (xy -0.593027 -9.123995)
(xy -0.319147 -9.247274) (xy -0.119945 -9.341633) (xy 0.052231 -9.423132) (xy 0.221967 -9.500132)
(xy 0.393447 -9.57431) (xy 0.570858 -9.647346) (xy 0.758387 -9.720917) (xy 0.96022 -9.796702)
(xy 1.180544 -9.876379) (xy 1.423545 -9.961625) (xy 1.446388 -9.969531) (xy 1.723973 -10.066515)
(xy 1.975415 -10.156638) (xy 2.201796 -10.240476) (xy 2.404199 -10.318606) (xy 2.583705 -10.391604)
(xy 2.741397 -10.460046) (xy 2.878356 -10.52451) (xy 2.995665 -10.585572) (xy 3.094404 -10.643809)
(xy 3.175657 -10.699798) (xy 3.240505 -10.754115) (xy 3.290029 -10.807336) (xy 3.325313 -10.860039)
(xy 3.347438 -10.9128) (xy 3.357486 -10.966195) (xy 3.358365 -10.988574) (xy 3.347444 -11.076968)
(xy 3.317324 -11.16027) (xy 3.271577 -11.232148) (xy 3.213778 -11.286271) (xy 3.17946 -11.305602)
(xy 3.096698 -11.327712) (xy 3.010642 -11.322199) (xy 2.921156 -11.288995) (xy 2.82811 -11.228036)
(xy 2.731369 -11.139254) (xy 2.644839 -11.040256) (xy 2.595495 -10.981525) (xy 2.544697 -10.925462)
(xy 2.498985 -10.87906) (xy 2.469527 -10.85284) (xy 2.431049 -10.824926) (xy 2.383892 -10.795596)
(xy 2.326217 -10.764058) (xy 2.256182 -10.729521) (xy 2.171946 -10.69119) (xy 2.071668 -10.648274)
(xy 1.953508 -10.599981) (xy 1.815623 -10.545517) (xy 1.656173 -10.484091) (xy 1.473317 -10.414909)
(xy 1.312333 -10.35471) (xy 0.997708 -10.238186) (xy 0.706926 -10.131947) (xy 0.437814 -10.03534)
(xy 0.188198 -9.947715) (xy -0.044098 -9.868421) (xy -0.261246 -9.796806) (xy -0.465422 -9.732221)
(xy -0.658799 -9.674013) (xy -0.843551 -9.621532) (xy -1.021853 -9.574126) (xy -1.195878 -9.531145)
(xy -1.367802 -9.491938) (xy -1.539797 -9.455854) (xy -1.714038 -9.422242) (xy -1.8927 -9.39045)
(xy -1.92812 -9.384428) (xy -2.224362 -9.337927) (xy -2.503995 -9.301157) (xy -2.765205 -9.274267)
(xy -3.006175 -9.257407) (xy -3.22509 -9.250727) (xy -3.420135 -9.254377) (xy -3.469667 -9.257233)
(xy -3.701365 -9.280232) (xy -3.910823 -9.317553) (xy -4.100991 -9.370791) (xy -4.274816 -9.44154)
(xy -4.435248 -9.531395) (xy -4.585236 -9.64195) (xy -4.727729 -9.774799) (xy -4.865677 -9.931537)
(xy -4.969768 -10.068278) (xy -5.066995 -10.19967) (xy -5.155547 -10.310433) (xy -5.240124 -10.404789)
(xy -5.325429 -10.486959) (xy -5.416165 -10.561165) (xy -5.517033 -10.631626) (xy -5.632736 -10.702566)
(xy -5.749195 -10.767995) (xy -5.865994 -10.837773) (xy -5.957679 -10.907263) (xy -6.027103 -10.97997)
(xy -6.077118 -11.059398) (xy -6.110576 -11.149051) (xy -6.127721 -11.233096) (xy -6.134545 -11.368489)
(xy -6.118213 -11.514032) (xy -6.079896 -11.665567) (xy -6.020764 -11.818938) (xy -5.94199 -11.969987)
(xy -5.902329 -12.03325) (xy -5.862777 -12.08945) (xy -5.818372 -12.144699) (xy -5.766525 -12.201408)
(xy -5.704649 -12.261985) (xy -5.630158 -12.32884) (xy -5.540464 -12.404382) (xy -5.432979 -12.491022)
(xy -5.305116 -12.591169) (xy -5.293906 -12.599854) (xy -5.241819 -12.640367) (xy -5.193309 -12.678598)
(xy -5.14547 -12.716978) (xy -5.095396 -12.757936) (xy -5.040181 -12.803901) (xy -4.976921 -12.857301)
(xy -4.90271 -12.920568) (xy -4.814642 -12.996129) (xy -4.709811 -13.086415) (xy -4.642556 -13.144437)
(xy -4.597854 -13.182271) (xy -4.536188 -13.233369) (xy -4.460334 -13.295511) (xy -4.398969 -13.345416)
(xy -3.723892 -13.345416) (xy -3.710283 -13.283002) (xy -3.672255 -13.219638) (xy -3.615849 -13.172778)
(xy -3.546993 -13.144591) (xy -3.471618 -13.137244) (xy -3.395655 -13.152906) (xy -3.376577 -13.160997)
(xy -3.326971 -13.196109) (xy -3.27721 -13.255129) (xy -3.226071 -13.339668) (xy -3.196497 -13.3985)
(xy -3.161594 -13.46642) (xy -3.129247 -13.51265) (xy -3.093135 -13.542287) (xy -3.046932 -13.56043)
(xy -2.984316 -13.572175) (xy -2.980376 -13.572722) (xy -2.883771 -13.592846) (xy -2.810504 -13.623151)
(xy -2.762105 -13.662935) (xy -2.751874 -13.677981) (xy -2.740191 -13.70989) (xy -2.742415 -13.715399)
(xy -1.309236 -13.715399) (xy -1.289146 -13.6764) (xy -1.259417 -13.642488) (xy -1.19444 -13.591385)
(xy -1.10709 -13.539812) (xy -1.003057 -13.490286) (xy -0.888032 -13.445322) (xy -0.767705 -13.407437)
(xy -0.677334 -13.385166) (xy -0.59013 -13.369859) (xy -0.521037 -13.36629) (xy -0.462553 -13.374349)
(xy -0.437934 -13.381658) (xy -0.387673 -13.412438) (xy -0.34837 -13.461535) (xy -0.326749 -13.519364)
(xy -0.324556 -13.543304) (xy -0.337912 -13.611817) (xy -0.374958 -13.675709) (xy -0.431165 -13.73012)
(xy -0.502002 -13.770191) (xy -0.550334 -13.785487) (xy -0.604506 -13.793414) (xy -0.67989 -13.798366)
(xy -0.770349 -13.800521) (xy -0.869742 -13.800057) (xy -0.971931 -13.79715) (xy -1.070777 -13.79198)
(xy -1.160143 -13.784725) (xy -1.233887 -13.775561) (xy -1.285873 -13.764667) (xy -1.287639 -13.764127)
(xy -1.309082 -13.746141) (xy -1.309236 -13.715399) (xy -2.742415 -13.715399) (xy -2.749368 -13.732612)
(xy -2.782061 -13.74912) (xy -2.824615 -13.759406) (xy -2.878815 -13.76607) (xy -2.952645 -13.770018)
(xy -3.037648 -13.771288) (xy -3.125367 -13.769912) (xy -3.207344 -13.765927) (xy -3.275123 -13.759367)
(xy -3.287889 -13.757486) (xy -3.391873 -13.731201) (xy -3.486441 -13.689017) (xy -3.568905 -13.633957)
(xy -3.636573 -13.569045) (xy -3.686754 -13.497302) (xy -3.716757 -13.421751) (xy -3.723892 -13.345416)
(xy -4.398969 -13.345416) (xy -4.373069 -13.366479) (xy -4.277168 -13.444053) (xy -4.175406 -13.526013)
(xy -4.070559 -13.61014) (xy -3.965404 -13.694216) (xy -3.862715 -13.77602) (xy -3.765268 -13.853334)
(xy -3.67584 -13.923938) (xy -3.597206 -13.985613) (xy -3.532141 -14.03614) (xy -3.483422 -14.073299)
(xy -3.453828 -14.094868) (xy -3.355683 -14.153473) (xy -3.239894 -14.209109) (xy -3.116988 -14.25714)
(xy -3.012723 -14.289067) (xy -2.95668 -14.302784) (xy -2.904284 -14.313045) (xy -2.849196 -14.32053)
(xy -2.785078 -14.325918) (xy -2.705594 -14.32989) (xy -2.604403 -14.333124) (xy -2.601169 -14.333212)
(xy -2.487036 -14.335076) (xy -2.383437 -14.334425)) (layer F.Mask) (width 0.01))
)
(module LOGO (layer F.Cu) (tedit 0) (tstamp 0)
(at 0 0)
(fp_text reference G*** (at 0 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_text value LOGO (at 0.75 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
)
(module LOGO (layer F.Cu) (tedit 0) (tstamp 0)
(at 53.594 51.562)
(fp_text reference G*** (at 0 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_text value LOGO (at 0.75 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_poly (pts (xy -13.65752 9.344439) (xy -13.447238 9.4301) (xy -13.233455 9.543172) (xy -13.016977 9.683178)
(xy -12.798607 9.849638) (xy -12.770556 9.872833) (xy -12.697086 9.93678) (xy -12.608877 10.017886)
(xy -12.50989 10.112169) (xy -12.404089 10.215653) (xy -12.295434 10.324356) (xy -12.187888 10.4343)
(xy -12.085412 10.541506) (xy -11.991968 10.641994) (xy -11.911518 10.731786) (xy -11.886261 10.761005)
(xy -11.694611 10.9896) (xy -11.491231 11.240116) (xy -11.278168 11.509839) (xy -11.057468 11.79606)
(xy -10.831178 12.096067) (xy -10.601345 12.407148) (xy -10.370015 12.726592) (xy -10.139236 13.051688)
(xy -9.927282 13.356167) (xy -9.879559 13.425909) (xy -9.81763 13.517253) (xy -9.742707 13.628368)
(xy -9.656003 13.757427) (xy -9.558732 13.902601) (xy -9.452105 14.062061) (xy -9.337335 14.233979)
(xy -9.215636 14.416525) (xy -9.08822 14.607872) (xy -8.956299 14.806191) (xy -8.821086 15.009653)
(xy -8.683795 15.21643) (xy -8.545638 15.424692) (xy -8.407827 15.632611) (xy -8.271576 15.83836)
(xy -8.138096 16.040108) (xy -8.008602 16.236027) (xy -7.884305 16.42429) (xy -7.766418 16.603066)
(xy -7.656155 16.770528) (xy -7.554727 16.924847) (xy -7.463347 17.064195) (xy -7.383229 17.186741)
(xy -7.315585 17.290659) (xy -7.261628 17.37412) (xy -7.234671 17.416215) (xy -7.007985 17.783345)
(xy -6.804895 18.135802) (xy -6.625198 18.474082) (xy -6.468689 18.79868) (xy -6.335165 19.11009)
(xy -6.224421 19.408807) (xy -6.136252 19.695326) (xy -6.070456 19.970141) (xy -6.026828 20.233748)
(xy -6.011576 20.382021) (xy -5.999021 20.616071) (xy -5.99968 20.841689) (xy -6.014157 21.06521)
(xy -6.043057 21.292967) (xy -6.086983 21.531294) (xy -6.145017 21.78052) (xy -6.186112 21.934718)
(xy -6.227037 22.069633) (xy -6.270998 22.193998) (xy -6.321202 22.316549) (xy -6.380855 22.446022)
(xy -6.414326 22.514278) (xy -6.512766 22.69695) (xy -6.615811 22.857435) (xy -6.727646 23.001761)
(xy -6.830733 23.114201) (xy -6.996902 23.266006) (xy -7.17637 23.396798) (xy -7.371117 23.507567)
(xy -7.583128 23.599299) (xy -7.814385 23.672984) (xy -8.0645 23.729173) (xy -8.126181 23.737483)
(xy -8.211014 23.744527) (xy -8.313955 23.750243) (xy -8.42996 23.75457) (xy -8.553985 23.757448)
(xy -8.680987 23.758815) (xy -8.80592 23.758612) (xy -8.923741 23.756777) (xy -9.029406 23.753249)
(xy -9.117871 23.747968) (xy -9.172223 23.742524) (xy -9.263139 23.73045) (xy -9.34949 23.71815)
(xy -9.433718 23.705086) (xy -9.51826 23.690717) (xy -9.605558 23.674502) (xy -9.69805 23.655902)
(xy -9.798176 23.634375) (xy -9.908376 23.609382) (xy -10.03109 23.580383) (xy -10.168757 23.546836)
(xy -10.323817 23.508202) (xy -10.49871 23.463941) (xy -10.695875 23.413512) (xy -10.917751 23.356375)
(xy -10.929056 23.353457) (xy -11.33711 23.248563) (xy -11.733238 23.147644) (xy -12.115014 23.051304)
(xy -12.480011 22.960149) (xy -12.825802 22.874783) (xy -13.149959 22.795813) (xy -13.450056 22.723844)
(xy -13.5255 22.70596) (xy -13.627476 22.681856) (xy -13.751941 22.652441) (xy -13.893918 22.61889)
(xy -14.04843 22.582381) (xy -14.2105 22.544089) (xy -14.37515 22.50519) (xy -14.537403 22.46686)
(xy -14.6685 22.435893) (xy -14.943544 22.370491) (xy -15.244864 22.298019) (xy -15.57148 22.218718)
(xy -15.92241 22.132832) (xy -16.296673 22.040602) (xy -16.693287 21.942271) (xy -17.111271 21.838082)
(xy -17.549644 21.728275) (xy -18.007424 21.613095) (xy -18.295056 21.540483) (xy -18.577548 21.469059)
(xy -18.834065 21.404098) (xy -19.065994 21.34516) (xy -19.274726 21.291805) (xy -19.461649 21.243592)
(xy -19.628152 21.200082) (xy -19.775624 21.160834) (xy -19.905456 21.12541) (xy -20.019034 21.093367)
(xy -20.117749 21.064267) (xy -20.20299 21.03767) (xy -20.276146 21.013136) (xy -20.338605 20.990223)
(xy -20.391758 20.968494) (xy -20.436992 20.947507) (xy -20.475698 20.926822) (xy -20.509264 20.906)
(xy -20.539078 20.8846) (xy -20.566532 20.862183) (xy -20.593012 20.838308) (xy -20.619909 20.812536)
(xy -20.63275 20.799975) (xy -20.692115 20.738231) (xy -20.732156 20.685839) (xy -20.756387 20.635576)
(xy -20.768323 20.580213) (xy -20.771477 20.514722) (xy -20.76855 20.449799) (xy -20.758727 20.385331)
(xy -20.740678 20.318716) (xy -20.713077 20.247353) (xy -20.674595 20.168643) (xy -20.623904 20.079983)
(xy -20.559675 19.978772) (xy -20.48058 19.86241) (xy -20.385291 19.728296) (xy -20.333356 19.656778)
(xy -20.210717 19.485354) (xy -20.105785 19.330113) (xy -20.016742 19.186863) (xy -19.941769 19.051411)
(xy -19.879047 18.919563) (xy -19.826757 18.787126) (xy -19.783081 18.649907) (xy -19.746199 18.503713)
(xy -19.714293 18.344351) (xy -19.685544 18.167628) (xy -19.684562 18.161) (xy -19.669231 18.032019)
(xy -19.658956 17.891343) (xy -19.653889 17.747034) (xy -19.654186 17.607158) (xy -19.66 17.479778)
(xy -19.669737 17.384889) (xy -19.688142 17.267807) (xy -19.710923 17.150238) (xy -19.738894 17.029834)
(xy -19.772866 16.904245) (xy -19.81365 16.771123) (xy -19.862059 16.628119) (xy -19.918904 16.472883)
(xy -19.984998 16.303066) (xy -20.061151 16.11632) (xy -20.148177 15.910295) (xy -20.246886 15.682643)
(xy -20.29885 15.564556) (xy -20.361662 15.422039) (xy -20.414038 15.302144) (xy -20.457161 15.201771)
(xy -20.492214 15.117822) (xy -20.520379 15.047197) (xy -20.54284 14.9868) (xy -20.560779 14.933531)
(xy -20.575379 14.88429) (xy -20.587824 14.835981) (xy -20.599296 14.785504) (xy -20.603166 14.767385)
(xy -20.627628 14.600599) (xy -20.628788 14.444944) (xy -20.607184 14.301807) (xy -20.563352 14.172573)
(xy -20.497828 14.058629) (xy -20.411151 13.961362) (xy -20.303856 13.882156) (xy -20.2489 13.852733)
(xy -20.166942 13.817007) (xy -20.083359 13.788763) (xy -19.995273 13.767927) (xy -19.899804 13.754429)
(xy -19.794076 13.748194) (xy -19.675208 13.74915) (xy -19.540323 13.757225) (xy -19.386542 13.772345)
(xy -19.210986 13.794439) (xy -19.021778 13.821766) (xy -18.833109 13.849339) (xy -18.667752 13.871246)
(xy -18.521432 13.887788) (xy -18.389878 13.899266) (xy -18.268815 13.905982) (xy -18.153972 13.908236)
(xy -18.041075 13.906329) (xy -17.925851 13.900562) (xy -17.914056 13.899786) (xy -17.683204 13.880546)
(xy -17.477339 13.854975) (xy -17.293743 13.822129) (xy -17.129701 13.781064) (xy -16.982496 13.730835)
(xy -16.849411 13.6705) (xy -16.727729 13.599115) (xy -16.614734 13.515735) (xy -16.50771 13.419417)
(xy -16.494967 13.406772) (xy -16.396949 13.301838) (xy -16.313979 13.196866) (xy -16.239839 13.083067)
(xy -16.168316 12.951647) (xy -16.156501 12.927995) (xy -16.12448 12.86064) (xy -16.094403 12.791301)
(xy -16.065166 12.716622) (xy -16.035662 12.633244) (xy -16.004788 12.53781) (xy -15.971438 12.426963)
(xy -15.934508 12.297344) (xy -15.892892 12.145597) (xy -15.865562 12.043833) (xy -15.829436 11.908456)
(xy -15.799895 11.797258) (xy -15.776274 11.707142) (xy -15.757905 11.635013) (xy -15.744124 11.577774)
(xy -15.734261 11.532331) (xy -15.727652 11.495586) (xy -15.72363 11.464444) (xy -15.721527 11.435809)
(xy -15.720678 11.406585) (xy -15.720415 11.373676) (xy -15.720393 11.369627) (xy -15.718598 11.313778)
(xy -15.714123 11.238984) (xy -15.70761 11.154325) (xy -15.699696 11.06888) (xy -15.698189 11.054281)
(xy -15.668313 10.811908) (xy -15.632463 10.597) (xy -15.590609 10.409431) (xy -15.54272 10.249077)
(xy -15.488765 10.115811) (xy -15.45152 10.045495) (xy -15.417493 9.994467) (xy -15.369583 9.931042)
(xy -15.312981 9.861295) (xy -15.252877 9.791301) (xy -15.194463 9.727133) (xy -15.142931 9.674866)
(xy -15.10923 9.644974) (xy -15.077157 9.624188) (xy -15.02395 9.594794) (xy -14.954497 9.5591)
(xy -14.873691 9.519415) (xy -14.786421 9.478047) (xy -14.697577 9.437304) (xy -14.612051 9.399496)
(xy -14.534732 9.366929) (xy -14.47051 9.341914) (xy -14.456834 9.337026) (xy -14.41114 9.321416)
(xy -14.373042 9.309986) (xy -14.336832 9.302084) (xy -14.296801 9.297058) (xy -14.247239 9.294256)
(xy -14.182438 9.293026) (xy -14.096688 9.292716) (xy -14.068778 9.292706) (xy -13.807723 9.29266)
(xy -13.65752 9.344439)) (layer F.Cu) (width 0.01))
(fp_poly (pts (xy 16.388303 11.132302) (xy 16.486365 11.141553) (xy 16.567628 11.156975) (xy 16.605225 11.169099)
(xy 16.668178 11.207004) (xy 16.733583 11.269976) (xy 16.799092 11.355267) (xy 16.862359 11.460132)
(xy 16.875769 11.485608) (xy 16.919086 11.573966) (xy 16.951948 11.65256) (xy 16.975715 11.727837)
(xy 16.991752 11.806247) (xy 17.001421 11.894239) (xy 17.006085 11.998263) (xy 17.007131 12.107333)
(xy 17.006265 12.190697) (xy 17.003865 12.295728) (xy 17.000156 12.415928) (xy 16.995362 12.544797)
(xy 16.989707 12.675836) (xy 16.983417 12.802546) (xy 16.982619 12.817359) (xy 16.972554 13.042528)
(xy 16.968496 13.244777) (xy 16.970783 13.428835) (xy 16.979751 13.599427) (xy 16.995736 13.761282)
(xy 17.019075 13.919127) (xy 17.050105 14.077689) (xy 17.082008 14.213493) (xy 17.167426 14.51807)
(xy 17.265222 14.800187) (xy 17.375084 15.059328) (xy 17.496699 15.294977) (xy 17.629756 15.506619)
(xy 17.773942 15.69374) (xy 17.928944 15.855823) (xy 18.094451 15.992354) (xy 18.204238 16.065176)
(xy 18.318254 16.126524) (xy 18.457241 16.187696) (xy 18.62188 16.248933) (xy 18.812853 16.310474)
(xy 19.030841 16.372558) (xy 19.212277 16.419574) (xy 19.500104 16.498141) (xy 19.763481 16.583911)
(xy 20.002025 16.676653) (xy 20.215357 16.77614) (xy 20.403094 16.882142) (xy 20.564855 16.994431)
(xy 20.700259 17.112778) (xy 20.808925 17.236953) (xy 20.890471 17.366729) (xy 20.926676 17.448389)
(xy 20.949708 17.537859) (xy 20.959361 17.641206) (xy 20.955418 17.747341) (xy 20.93766 17.845175)
(xy 20.93467 17.855567) (xy 20.872873 18.016353) (xy 20.784275 18.178926) (xy 20.670116 18.34186)
(xy 20.531634 18.503731) (xy 20.370068 18.663112) (xy 20.186656 18.81858) (xy 19.982637 18.968708)
(xy 19.944916 18.99432) (xy 19.864966 19.047144) (xy 19.786662 19.096939) (xy 19.708327 19.144394)
(xy 19.628288 19.190194) (xy 19.544869 19.235028) (xy 19.456397 19.279581) (xy 19.361196 19.324542)
(xy 19.257591 19.370596) (xy 19.143909 19.418431) (xy 19.018474 19.468734) (xy 18.879612 19.522192)
(xy 18.725648 19.579492) (xy 18.554907 19.64132) (xy 18.365715 19.708364) (xy 18.156397 19.781311)
(xy 17.925278 19.860848) (xy 17.670685 19.947661) (xy 17.390941 20.042438) (xy 17.384888 20.044483)
(xy 17.151246 20.123811) (xy 16.942065 20.195683) (xy 16.75487 20.261054) (xy 16.587182 20.320879)
(xy 16.436524 20.376114) (xy 16.300418 20.427713) (xy 16.176387 20.476631) (xy 16.061953 20.523823)
(xy 15.95464 20.570243) (xy 15.851968 20.616847) (xy 15.751461 20.66459) (xy 15.663741 20.707851)
(xy 15.527514 20.778366) (xy 15.387709 20.855434) (xy 15.242953 20.940024) (xy 15.091871 21.033106)
(xy 14.93309 21.135649) (xy 14.765235 21.248621) (xy 14.586931 21.372993) (xy 14.396806 21.509732)
(xy 14.193485 21.659809) (xy 13.975593 21.824192) (xy 13.741756 22.003851) (xy 13.490601 22.199754)
(xy 13.220753 22.412871) (xy 13.024555 22.569175) (xy 12.829966 22.723155) (xy 12.654367 22.858798)
(xy 12.496238 22.977156) (xy 12.354064 23.079284) (xy 12.226326 23.166233) (xy 12.111506 23.239056)
(xy 12.008086 23.298807) (xy 11.91455 23.346538) (xy 11.883268 23.360864) (xy 11.63008 23.460132)
(xy 11.357919 23.5423) (xy 11.071153 23.606133) (xy 10.936111 23.628911) (xy 10.855269 23.638678)
(xy 10.756416 23.646694) (xy 10.646448 23.652767) (xy 10.532262 23.656707) (xy 10.420757 23.65832)
(xy 10.318828 23.657416) (xy 10.233374 23.653802) (xy 10.188222 23.649702) (xy 9.958981 23.61166)
(xy 9.736066 23.553805) (xy 9.513404 23.474258) (xy 9.284921 23.371137) (xy 9.278055 23.36773)
(xy 9.031743 23.230164) (xy 8.804937 23.072244) (xy 8.597737 22.894057) (xy 8.410247 22.695695)
(xy 8.242566 22.477245) (xy 8.210397 22.429611) (xy 8.096598 22.240669) (xy 8.003069 22.047874)
(xy 7.929206 21.84843) (xy 7.874404 21.639538) (xy 7.83806 21.418404) (xy 7.819567 21.182231)
(xy 7.818322 20.928221) (xy 7.831735 20.678355) (xy 7.838233 20.603299) (xy 7.847196 20.511972)
(xy 7.85822 20.4076) (xy 7.870899 20.293411) (xy 7.884828 20.172634) (xy 7.899604 20.048495)
(xy 7.91482 19.924222) (xy 7.930073 19.803043) (xy 7.944958 19.688185) (xy 7.95907 19.582876)
(xy 7.972004 19.490344) (xy 7.983355 19.413816) (xy 7.992718 19.356521) (xy 7.99969 19.321684)
(xy 8.003046 19.312283) (xy 8.019582 19.305645) (xy 8.057938 19.293042) (xy 8.112739 19.276052)
(xy 8.178609 19.256255) (xy 8.250172 19.235228) (xy 8.322053 19.214551) (xy 8.388877 19.195803)
(xy 8.445268 19.180561) (xy 8.48585 19.170405) (xy 8.48721 19.170094) (xy 8.560819 19.154917)
(xy 8.64053 19.141616) (xy 8.728116 19.130136) (xy 8.825352 19.120421) (xy 8.934014 19.112416)
(xy 9.055877 19.106064) (xy 9.192714 19.101311) (xy 9.346302 19.098101) (xy 9.518414 19.096378)
(xy 9.710827 19.096087) (xy 9.925314 19.097171) (xy 10.163651 19.099576) (xy 10.427612 19.103245)
(xy 10.555111 19.105292) (xy 10.867367 19.109852) (xy 11.153468 19.112577) (xy 11.415428 19.113301)
(xy 11.655264 19.111857) (xy 11.874994 19.10808) (xy 12.076633 19.101803) (xy 12.262197 19.09286)
(xy 12.433703 19.081085) (xy 12.593168 19.066312) (xy 12.742608 19.048374) (xy 12.884039 19.027106)
(xy 13.019477 19.002341) (xy 13.15094 18.973913) (xy 13.280444 18.941657) (xy 13.410004 18.905405)
(xy 13.541637 18.864991) (xy 13.56594 18.857187) (xy 13.787261 18.777441) (xy 14.012938 18.680626)
(xy 14.239021 18.569216) (xy 14.461563 18.445683) (xy 14.676614 18.312497) (xy 14.880226 18.172133)
(xy 15.068451 18.027062) (xy 15.23734 17.879756) (xy 15.382945 17.732688) (xy 15.409436 17.702934)
(xy 15.564974 17.503783) (xy 15.695714 17.291499) (xy 15.801408 17.066872) (xy 15.881807 16.830691)
(xy 15.936662 16.583748) (xy 15.965724 16.326833) (xy 15.968743 16.060735) (xy 15.9623 15.947909)
(xy 15.947363 15.792384) (xy 15.926522 15.649167) (xy 15.898299 15.515304) (xy 15.861215 15.387839)
(xy 15.813793 15.263818) (xy 15.754554 15.140288) (xy 15.68202 15.014293) (xy 15.594712 14.88288)
(xy 15.491153 14.743093) (xy 15.369863 14.59198) (xy 15.229365 14.426584) (xy 15.163261 14.351)
(xy 15.098758 14.277606) (xy 15.050664 14.221975) (xy 15.016703 14.180718) (xy 14.994604 14.150444)
(xy 14.982091 14.127764) (xy 14.976892 14.109288) (xy 14.976732 14.091626) (xy 14.978701 14.075833)
(xy 14.982651 14.039084) (xy 14.987217 13.982273) (xy 14.9918 13.913535) (xy 14.995369 13.849678)
(xy 14.99744 13.750079) (xy 14.991293 13.664268) (xy 14.97479 13.587151) (xy 14.945792 13.513632)
(xy 14.902159 13.438617) (xy 14.841752 13.357012) (xy 14.762434 13.263722) (xy 14.737816 13.236222)
(xy 14.654273 13.140583) (xy 14.588808 13.05781) (xy 14.537997 12.982492) (xy 14.498413 12.909218)
(xy 14.466632 12.832575) (xy 14.449025 12.779968) (xy 14.425349 12.707932) (xy 14.399035 12.634483)
(xy 14.374248 12.571012) (xy 14.363627 12.546354) (xy 14.343444 12.501364) (xy 14.328845 12.467959)
(xy 14.322803 12.452953) (xy 14.322777 12.452775) (xy 14.333114 12.443162) (xy 14.361767 12.418662)
(xy 14.405196 12.382247) (xy 14.459863 12.336887) (xy 14.509527 12.295977) (xy 14.763193 12.083228)
(xy 15.025681 11.854656) (xy 15.28909 11.617168) (xy 15.345833 11.564915) (xy 15.458358 11.46274)
(xy 15.554952 11.37938) (xy 15.638578 11.312687) (xy 15.712196 11.260509) (xy 15.778769 11.220697)
(xy 15.841259 11.1911) (xy 15.88116 11.176298) (xy 15.962435 11.155688) (xy 16.060505 11.140917)
(xy 16.168653 11.132052) (xy 16.280159 11.129158) (xy 16.388303 11.132302)) (layer F.Cu) (width 0.01))
(fp_poly (pts (xy 4.000404 20.316945) (xy 4.043949 20.326045) (xy 4.101869 20.337688) (xy 4.155722 20.348232)
(xy 4.227104 20.362919) (xy 4.276437 20.375739) (xy 4.309608 20.388814) (xy 4.332506 20.404263)
(xy 4.344301 20.416164) (xy 4.363467 20.435796) (xy 4.369352 20.434551) (xy 4.362395 20.410659)
(xy 4.352171 20.384551) (xy 4.351301 20.374306) (xy 4.363715 20.372073) (xy 4.394631 20.37794)
(xy 4.425745 20.385779) (xy 4.571833 20.43638) (xy 4.703713 20.507089) (xy 4.81879 20.595602)
(xy 4.914466 20.699613) (xy 4.988143 20.816817) (xy 5.030872 20.922929) (xy 5.071199 21.092675)
(xy 5.086447 21.261234) (xy 5.0766 21.432918) (xy 5.041647 21.612037) (xy 5.028575 21.659903)
(xy 4.969126 21.828662) (xy 4.894731 21.973611) (xy 4.805141 22.095033) (xy 4.700112 22.193208)
(xy 4.579395 22.268419) (xy 4.452055 22.318236) (xy 4.369575 22.335937) (xy 4.275084 22.342793)
(xy 4.165307 22.338651) (xy 4.036967 22.323355) (xy 3.88679 22.296751) (xy 3.853471 22.289982)
(xy 3.773039 22.273526) (xy 3.701698 22.259288) (xy 3.644242 22.248196) (xy 3.605467 22.241179)
(xy 3.590692 22.239111) (xy 3.578078 22.251597) (xy 3.567172 22.282799) (xy 3.564692 22.295556)
(xy 3.557575 22.330758) (xy 3.545268 22.347063) (xy 3.518748 22.351745) (xy 3.494685 22.352)
(xy 3.455483 22.350266) (xy 3.429625 22.345912) (xy 3.42554 22.343837) (xy 3.409003 22.340436)
(xy 3.370138 22.33729) (xy 3.314842 22.33476) (xy 3.252384 22.333254) (xy 3.087391 22.330833)
(xy 2.845445 21.868993) (xy 2.790281 21.764212) (xy 2.73897 21.667748) (xy 2.693022 21.582362)
(xy 2.653948 21.51082) (xy 2.623258 21.455886) (xy 2.602462 21.420323) (xy 2.593071 21.406895)
(xy 2.592916 21.406854) (xy 2.590095 21.42027) (xy 2.587558 21.458231) (xy 2.585408 21.517062)
(xy 2.583751 21.593086) (xy 2.582691 21.682627) (xy 2.582333 21.77843) (xy 2.581986 21.88342)
(xy 2.581011 21.983822) (xy 2.579505 22.074722) (xy 2.577564 22.151202) (xy 2.575287 22.208349)
(xy 2.573267 22.237041) (xy 2.564201 22.323778) (xy 2.09803 22.323778) (xy 2.107873 21.679531)
(xy 2.117715 21.035284) (xy 2.155996 20.989105) (xy 2.196695 20.952941) (xy 2.231355 20.941908)
(xy 2.266182 20.933475) (xy 2.286 20.919722) (xy 2.314002 20.901953) (xy 2.333588 20.898176)
(xy 2.362318 20.889105) (xy 2.399017 20.866747) (xy 2.413 20.855716) (xy 2.43633 20.833445)
(xy 2.441917 20.822566) (xy 2.435582 20.823138) (xy 2.417589 20.826312) (xy 2.413947 20.813015)
(xy 2.41786 20.791515) (xy 2.422635 20.767383) (xy 2.420003 20.766072) (xy 2.407832 20.788715)
(xy 2.402285 20.799778) (xy 2.375175 20.838138) (xy 2.34149 20.853332) (xy 2.339901 20.853532)
(xy 2.305224 20.863975) (xy 2.28555 20.87793) (xy 2.264636 20.890502) (xy 2.241215 20.883133)
(xy 2.218077 20.876901) (xy 2.19539 20.889151) (xy 2.179871 20.904596) (xy 2.153587 20.930555)
(xy 2.135306 20.940702) (xy 2.123981 20.932608) (xy 2.118565 20.903847) (xy 2.118011 20.85199)
(xy 2.121272 20.774608) (xy 2.121553 20.76934) (xy 2.12537 20.6877) (xy 2.128418 20.602261)
(xy 2.130322 20.524722) (xy 2.130777 20.479713) (xy 2.130777 20.361634) (xy 2.360083 20.365512)
(xy 2.589388 20.369389) (xy 2.836333 20.842111) (xy 3.083277 21.314833) (xy 3.091923 20.912667)
(xy 3.09425 20.805203) (xy 3.09648 20.703736) (xy 3.098515 20.612631) (xy 3.100255 20.536257)
(xy 3.101602 20.478981) (xy 3.102458 20.445171) (xy 3.102506 20.443472) (xy 3.104444 20.376445)
(xy 3.336444 20.376445) (xy 3.414268 20.377056) (xy 3.481628 20.378747) (xy 3.533724 20.381303)
(xy 3.565756 20.384511) (xy 3.57367 20.387028) (xy 3.574783 20.40345) (xy 3.575221 20.444238)
(xy 3.57501 20.505546) (xy 3.574179 20.583526) (xy 3.572755 20.674331) (xy 3.570975 20.7645)
(xy 3.563055 21.131389) (xy 3.481916 21.144256) (xy 3.435534 21.153076) (xy 3.410901 21.162949)
(xy 3.401638 21.177244) (xy 3.400777 21.18712) (xy 3.390713 21.213111) (xy 3.363717 21.250353)
(xy 3.330222 21.286611) (xy 3.291353 21.329351) (xy 3.266485 21.365926) (xy 3.259666 21.386663)
(xy 3.254259 21.41915) (xy 3.240849 21.461444) (xy 3.23668 21.47186) (xy 3.221855 21.516977)
(xy 3.209893 21.571234) (xy 3.201894 21.626298) (xy 3.198959 21.673838) (xy 3.202187 21.705521)
(xy 3.205042 21.711405) (xy 3.210694 21.731795) (xy 3.215286 21.772982) (xy 3.218103 21.827542)
(xy 3.218585 21.852516) (xy 3.221307 21.925424) (xy 3.228091 21.973501) (xy 3.239395 21.999908)
(xy 3.240141 22.000777) (xy 3.253062 22.027265) (xy 3.246403 22.060492) (xy 3.239547 22.089667)
(xy 3.250827 22.106772) (xy 3.260067 22.112326) (xy 3.285731 22.136505) (xy 3.312376 22.176847)
(xy 3.333886 22.2222) (xy 3.344143 22.261412) (xy 3.344333 22.265938) (xy 3.349968 22.292692)
(xy 3.356919 22.301669) (xy 3.362361 22.295729) (xy 3.360152 22.270819) (xy 3.352053 22.234575)
(xy 3.339828 22.194632) (xy 3.33498 22.182667) (xy 3.570111 22.182667) (xy 3.575274 22.194282)
(xy 3.579518 22.192074) (xy 3.581207 22.175328) (xy 3.579518 22.173259) (xy 3.571129 22.175196)
(xy 3.570111 22.182667) (xy 3.33498 22.182667) (xy 3.325239 22.158627) (xy 3.322762 22.153664)
(xy 3.301373 22.121331) (xy 3.280191 22.101309) (xy 3.266007 22.085188) (xy 3.270537 22.057234)
(xy 3.271892 22.053569) (xy 3.277902 22.01495) (xy 3.272162 21.998044) (xy 3.26643 21.975808)
(xy 3.262052 21.932763) (xy 3.259642 21.876316) (xy 3.259371 21.844) (xy 3.259419 21.78224)
(xy 3.259172 21.728799) (xy 3.258678 21.69165) (xy 3.258358 21.681722) (xy 3.261808 21.631891)
(xy 3.274342 21.568144) (xy 3.293168 21.502168) (xy 3.313953 21.448889) (xy 3.33158 21.4066)
(xy 3.342418 21.371605) (xy 3.344023 21.360621) (xy 3.354743 21.340021) (xy 3.382563 21.309729)
(xy 3.421484 21.276268) (xy 3.42189 21.275954) (xy 3.470901 21.233238) (xy 3.496189 21.199471)
(xy 3.499501 21.18628) (xy 3.50315 21.168893) (xy 3.518363 21.158318) (xy 3.551658 21.15148)
(xy 3.580222 21.148178) (xy 3.624941 21.144728) (xy 3.656965 21.144437) (xy 3.667482 21.146445)
(xy 3.667737 21.161545) (xy 3.665476 21.201457) (xy 3.660962 21.262911) (xy 3.654459 21.342633)
(xy 3.646234 21.437353) (xy 3.63655 21.543797) (xy 3.62806 21.633839) (xy 3.61738 21.747156)
(xy 3.607883 21.851268) (xy 3.599842 21.942914) (xy 3.593531 22.018832) (xy 3.589222 22.075761)
(xy 3.587188 22.110441) (xy 3.58737 22.119963) (xy 3.591737 22.108814) (xy 3.600243 22.074559)
(xy 3.611847 22.021835) (xy 3.625504 21.955279) (xy 3.632902 21.917616) (xy 3.649898 21.830293)
(xy 3.662671 21.766872) (xy 3.672294 21.723535) (xy 3.679841 21.696465) (xy 3.686387 21.681842)
(xy 3.693004 21.675849) (xy 3.700767 21.674668) (xy 3.701239 21.674667) (xy 3.721393 21.665772)
(xy 3.74874 21.644339) (xy 3.749152 21.643952) (xy 3.77535 21.625326) (xy 3.808659 21.616625)
(xy 3.85922 21.615277) (xy 3.861968 21.615346) (xy 3.909301 21.613941) (xy 3.946371 21.608091)
(xy 3.960582 21.602106) (xy 3.986621 21.593339) (xy 4.02391 21.593401) (xy 4.025065 21.593567)
(xy 4.065609 21.592707) (xy 4.097498 21.57178) (xy 4.102131 21.566965) (xy 4.137075 21.542192)
(xy 4.170553 21.533556) (xy 4.198863 21.524727) (xy 4.233074 21.503003) (xy 4.264481 21.475526)
(xy 4.284381 21.449438) (xy 4.286819 21.439482) (xy 4.308592 21.439482) (xy 4.310529 21.447871)
(xy 4.318 21.448889) (xy 4.329615 21.443726) (xy 4.327407 21.439482) (xy 4.31066 21.437793)
(xy 4.308592 21.439482) (xy 4.286819 21.439482) (xy 4.287227 21.437816) (xy 4.298044 21.426537)
(xy 4.32725 21.406909) (xy 4.362055 21.386788) (xy 4.412953 21.353088) (xy 4.455641 21.314272)
(xy 4.471013 21.29486) (xy 4.504082 21.244278) (xy 4.456292 21.290079) (xy 4.412401 21.322347)
(xy 4.353887 21.352571) (xy 4.319181 21.366044) (xy 4.26072 21.389492) (xy 4.22407 21.414172)
(xy 4.207284 21.435546) (xy 4.18547 21.462505) (xy 4.163851 21.473467) (xy 4.163159 21.473441)
(xy 4.136713 21.478066) (xy 4.099336 21.491313) (xy 4.092222 21.494435) (xy 4.018939 21.52222)
(xy 3.954238 21.53259) (xy 3.885983 21.527298) (xy 3.876491 21.525603) (xy 3.823107 21.523736)
(xy 3.774743 21.541587) (xy 3.741694 21.555929) (xy 3.719538 21.559275) (xy 3.716737 21.557886)
(xy 3.717412 21.542469) (xy 3.723215 21.502218) (xy 3.733636 21.439952) (xy 3.748163 21.35849)
(xy 3.766286 21.260651) (xy 3.787493 21.149254) (xy 3.811274 21.027117) (xy 3.831172 20.926749)
(xy 3.847341 20.846156) (xy 4.588385 20.846156) (xy 4.591819 20.854042) (xy 4.606027 20.869191)
(xy 4.614195 20.866083) (xy 4.614333 20.864111) (xy 4.60431 20.852175) (xy 4.598041 20.847819)
(xy 4.588385 20.846156) (xy 3.847341 20.846156) (xy 3.861189 20.777133) (xy 3.877114 20.698806)
(xy 4.405316 20.698806) (xy 4.418006 20.722045) (xy 4.433973 20.728108) (xy 4.444503 20.725185)
(xy 4.438768 20.722167) (xy 4.452055 20.722167) (xy 4.493501 20.768028) (xy 4.523597 20.800088)
(xy 4.539235 20.813032) (xy 4.543776 20.809631) (xy 4.543777 20.809473) (xy 4.53433 20.79826)
(xy 4.510525 20.775178) (xy 4.497916 20.763612) (xy 4.452055 20.722167) (xy 4.438768 20.722167)
(xy 4.434416 20.719877) (xy 4.419752 20.701632) (xy 4.415663 20.682185) (xy 4.412275 20.665337)
(xy 4.407277 20.669716) (xy 4.405316 20.698806) (xy 3.877114 20.698806) (xy 3.886424 20.65302)
(xy 3.907405 20.552196) (xy 3.919657 20.49557) (xy 4.335282 20.49557) (xy 4.337184 20.509944)
(xy 4.348215 20.539291) (xy 4.364302 20.575001) (xy 4.381372 20.608467) (xy 4.395354 20.63108)
(xy 4.401531 20.635616) (xy 4.399331 20.621101) (xy 4.387432 20.589197) (xy 4.374444 20.559889)
(xy 4.355448 20.522473) (xy 4.340873 20.499462) (xy 4.335282 20.49557) (xy 3.919657 20.49557)
(xy 3.924661 20.472446) (xy 3.938721 20.411554) (xy 3.950112 20.367307) (xy 3.959364 20.337489)
(xy 3.967004 20.319886) (xy 3.973561 20.312283) (xy 3.977908 20.311757) (xy 4.000404 20.316945)) (layer F.Cu) (width 0.01))
(fp_poly (pts (xy 1.16456 20.373148) (xy 1.185414 20.373528) (xy 1.474774 20.379228) (xy 1.551378 20.645489)
(xy 1.57756 20.737088) (xy 1.596454 20.805504) (xy 1.608761 20.854622) (xy 1.615182 20.888327)
(xy 1.61642 20.910504) (xy 1.613176 20.925037) (xy 1.606152 20.935811) (xy 1.604213 20.938014)
(xy 1.585427 20.972844) (xy 1.580444 21.000443) (xy 1.572118 21.031156) (xy 1.545166 21.045461)
(xy 1.517513 21.060629) (xy 1.509888 21.091499) (xy 1.499195 21.126916) (xy 1.465275 21.166481)
(xy 1.453444 21.176996) (xy 1.414844 21.217072) (xy 1.397581 21.251588) (xy 1.397 21.257761)
(xy 1.388284 21.291193) (xy 1.375833 21.307778) (xy 1.358081 21.335878) (xy 1.354666 21.354595)
(xy 1.345392 21.383413) (xy 1.322439 21.417809) (xy 1.315861 21.425251) (xy 1.293579 21.450119)
(xy 1.29044 21.458039) (xy 1.30553 21.451923) (xy 1.307877 21.450718) (xy 1.338265 21.437219)
(xy 1.35172 21.441728) (xy 1.355504 21.467717) (xy 1.355684 21.473583) (xy 1.358784 21.484408)
(xy 1.366433 21.468603) (xy 1.377974 21.427722) (xy 1.398505 21.366803) (xy 1.424058 21.322136)
(xy 1.433401 21.311982) (xy 1.457407 21.282229) (xy 1.467553 21.253937) (xy 1.467555 21.253656)
(xy 1.479615 21.227965) (xy 1.495777 21.219022) (xy 1.519137 21.200675) (xy 1.524 21.175043)
(xy 1.529995 21.146852) (xy 1.551401 21.138461) (xy 1.552956 21.138445) (xy 1.58063 21.125621)
(xy 1.596773 21.095813) (xy 1.615502 21.060986) (xy 1.638373 21.038872) (xy 1.659481 21.029579)
(xy 1.665111 21.029726) (xy 1.668918 21.043903) (xy 1.679825 21.082499) (xy 1.697061 21.142829)
(xy 1.719853 21.222209) (xy 1.747429 21.317956) (xy 1.779019 21.427384) (xy 1.813848 21.54781)
(xy 1.848555 21.667611) (xy 1.88579 21.796432) (xy 1.920492 21.917242) (xy 1.951882 22.027283)
(xy 1.979184 22.123793) (xy 2.001621 22.204014) (xy 2.018416 22.265186) (xy 2.028791 22.30455)
(xy 2.032 22.319111) (xy 2.026545 22.32608) (xy 2.007993 22.331183) (xy 1.973063 22.334664)
(xy 1.918475 22.336769) (xy 1.840949 22.337744) (xy 1.781241 22.337889) (xy 1.530483 22.337889)
(xy 1.445066 22.080361) (xy 1.359648 21.822833) (xy 0.98172 21.822833) (xy 0.80771 22.330833)
(xy 0.561743 22.334676) (xy 0.481203 22.335448) (xy 0.410711 22.335201) (xy 0.355008 22.334026)
(xy 0.318833 22.332015) (xy 0.307027 22.329769) (xy 0.303452 22.310656) (xy 0.30587 22.301232)
(xy 0.301344 22.285668) (xy 0.271809 22.278142) (xy 0.229305 22.277654) (xy 0.212909 22.271476)
(xy 0.211666 22.267573) (xy 0.217179 22.252289) (xy 0.232709 22.214761) (xy 0.25674 22.158506)
(xy 0.287759 22.087039) (xy 0.324251 22.003877) (xy 0.361431 21.919891) (xy 0.402517 21.827382)
(xy 0.440448 21.741839) (xy 0.473516 21.667126) (xy 0.500012 21.607106) (xy 0.518228 21.565643)
(xy 0.526013 21.547667) (xy 0.53459 21.530553) (xy 0.540658 21.531519) (xy 0.546215 21.55405)
(xy 0.552087 21.593184) (xy 0.562476 21.644794) (xy 0.576983 21.690012) (xy 0.586688 21.709609)
(xy 0.603292 21.751915) (xy 0.609992 21.80558) (xy 0.606834 21.859486) (xy 0.593863 21.902517)
(xy 0.58585 21.914292) (xy 0.569391 21.944857) (xy 0.568992 21.988032) (xy 0.56996 21.994813)
(xy 0.572527 22.032994) (xy 0.564846 22.065962) (xy 0.543556 22.099174) (xy 0.505293 22.138087)
(xy 0.455083 22.181238) (xy 0.414198 22.21601) (xy 0.383379 22.243985) (xy 0.36781 22.260394)
(xy 0.366888 22.262322) (xy 0.378602 22.265217) (xy 0.404562 22.260937) (xy 0.429622 22.246671)
(xy 0.466902 22.216235) (xy 0.510774 22.175322) (xy 0.555608 22.129621) (xy 0.595776 22.084824)
(xy 0.625647 22.046622) (xy 0.638375 22.024562) (xy 0.640216 21.992516) (xy 0.629649 21.951766)
(xy 0.628967 21.950105) (xy 0.617097 21.915205) (xy 0.619122 21.892302) (xy 0.628671 21.87684)
(xy 0.646067 21.832845) (xy 0.646422 21.775763) (xy 0.630403 21.714482) (xy 0.613941 21.680634)
(xy 0.588821 21.628037) (xy 0.568252 21.568562) (xy 0.563623 21.549985) (xy 0.559982 21.530861)
(xy 0.558125 21.511664) (xy 0.558737 21.489471) (xy 0.562509 21.461357) (xy 0.570126 21.4244)
(xy 0.582277 21.375676) (xy 0.59965 21.31226) (xy 0.622933 21.23123) (xy 0.652812 21.129661)
(xy 0.689977 21.00463) (xy 0.699178 20.973757) (xy 0.733589 20.85792) (xy 0.765613 20.749372)
(xy 0.794302 20.651379) (xy 0.81871 20.567206) (xy 0.83789 20.500118) (xy 0.850896 20.453381)
(xy 0.85678 20.430259) (xy 0.856864 20.429815) (xy 0.867534 20.397128) (xy 0.879951 20.379646)
(xy 0.89839 20.376343) (xy 0.940554 20.373952) (xy 1.00196 20.372563) (xy 1.078123 20.372265)
(xy 1.16456 20.373148)) (layer F.Cu) (width 0.01))
(fp_poly (pts (xy -1.98751 20.199062) (xy -1.972839 20.235942) (xy -1.955015 20.288716) (xy -1.935624 20.351745)
(xy -1.916249 20.419388) (xy -1.898474 20.486008) (xy -1.883883 20.545965) (xy -1.87406 20.59362)
(xy -1.870589 20.623333) (xy -1.872066 20.630085) (xy -1.889238 20.637319) (xy -1.928563 20.650171)
(xy -1.984902 20.667069) (xy -2.053117 20.686442) (xy -2.084851 20.69515) (xy -2.165533 20.717695)
(xy -2.22202 20.735203) (xy -2.257618 20.748969) (xy -2.275637 20.760288) (xy -2.279383 20.770455)
(xy -2.279173 20.771231) (xy -2.269768 20.803018) (xy -2.254413 20.856855) (xy -2.233962 20.929624)
(xy -2.209269 21.018203) (xy -2.181189 21.119472) (xy -2.150576 21.230313) (xy -2.118286 21.347605)
(xy -2.085171 21.468227) (xy -2.052088 21.589061) (xy -2.01989 21.706986) (xy -1.989431 21.818881)
(xy -1.961567 21.921628) (xy -1.937151 22.012106) (xy -1.917039 22.087195) (xy -1.902084 22.143775)
(xy -1.893141 22.178727) (xy -1.890889 22.18898) (xy -1.902552 22.209389) (xy -1.915584 22.21626)
(xy -1.956181 22.227956) (xy -2.010851 22.243353) (xy -2.073752 22.26085) (xy -2.139045 22.278845)
(xy -2.200891 22.295736) (xy -2.253448 22.30992) (xy -2.290878 22.319797) (xy -2.30734 22.323763)
(xy -2.307541 22.323778) (xy -2.318199 22.312542) (xy -2.324543 22.299191) (xy -2.33589 22.238996)
(xy -2.320512 22.184075) (xy -2.278239 22.132247) (xy -2.248629 22.104412) (xy -2.239191 22.093124)
(xy -2.248898 22.096299) (xy -2.264834 22.105044) (xy -2.301922 22.130153) (xy -2.33079 22.156112)
(xy -2.336036 22.163389) (xy -2.340272 22.170188) (xy -2.344158 22.174458) (xy -2.348357 22.174152)
(xy -2.353531 22.167223) (xy -2.360341 22.15162) (xy -2.36945 22.125298) (xy -2.38152 22.086206)
(xy -2.397212 22.032298) (xy -2.417188 21.961524) (xy -2.442111 21.871837) (xy -2.472641 21.761189)
(xy -2.509442 21.627531) (xy -2.553174 21.468815) (xy -2.554778 21.463) (xy -2.588632 21.3408)
(xy -2.620419 21.227089) (xy -2.649313 21.124743) (xy -2.67449 21.036638) (xy -2.695125 20.965649)
(xy -2.710393 20.914652) (xy -2.71947 20.886523) (xy -2.721458 20.881899) (xy -2.737506 20.882144)
(xy -2.775668 20.889226) (xy -2.830973 20.902038) (xy -2.898453 20.919476) (xy -2.933156 20.92901)
(xy -3.004765 20.948112) (xy -3.066681 20.96286) (xy -3.113903 20.972199) (xy -3.141426 20.975075)
(xy -3.146138 20.973889) (xy -3.153565 20.956499) (xy -3.165883 20.918408) (xy -3.181544 20.865382)
(xy -3.199001 20.803186) (xy -3.216705 20.737587) (xy -3.23311 20.674351) (xy -3.246388 20.620378)
(xy -2.34017 20.620378) (xy -2.336737 20.628264) (xy -2.322528 20.643413) (xy -2.31436 20.640306)
(xy -2.314223 20.638333) (xy -2.324246 20.626397) (xy -2.330514 20.622041) (xy -2.34017 20.620378)
(xy -3.246388 20.620378) (xy -3.246667 20.619244) (xy -3.248881 20.609278) (xy -2.441223 20.609278)
(xy -2.434167 20.616333) (xy -2.427112 20.609278) (xy -2.434167 20.602222) (xy -2.441223 20.609278)
(xy -3.248881 20.609278) (xy -3.252018 20.595167) (xy -2.469445 20.595167) (xy -2.462389 20.602222)
(xy -2.456752 20.596585) (xy -2.23042 20.596585) (xy -2.210908 20.598812) (xy -2.201334 20.598948)
(xy -2.175661 20.597477) (xy -2.172636 20.593814) (xy -2.176639 20.592495) (xy -2.212428 20.590301)
(xy -2.226028 20.592495) (xy -2.23042 20.596585) (xy -2.456752 20.596585) (xy -2.455334 20.595167)
(xy -2.462389 20.588111) (xy -2.469445 20.595167) (xy -3.252018 20.595167) (xy -3.255828 20.578031)
(xy -3.259046 20.556478) (xy -3.258653 20.554734) (xy -3.243569 20.549238) (xy -3.206316 20.537916)
(xy -3.167281 20.526665) (xy -2.102556 20.526665) (xy -2.091658 20.524554) (xy -2.064007 20.511458)
(xy -2.046269 20.501838) (xy -2.014233 20.481965) (xy -1.997055 20.467575) (xy -1.996138 20.464047)
(xy -2.010212 20.467061) (xy -2.037616 20.480611) (xy -2.068615 20.498968) (xy -2.093475 20.516406)
(xy -2.102556 20.526665) (xy -3.167281 20.526665) (xy -3.151983 20.522256) (xy -3.085658 20.503746)
(xy -3.062112 20.497294) (xy -2.999055 20.47951) (xy -2.914494 20.454796) (xy -2.813817 20.424772)
(xy -2.702416 20.391062) (xy -2.585678 20.355288) (xy -2.468995 20.319071) (xy -2.443485 20.311086)
(xy -2.33827 20.278474) (xy -2.241317 20.249151) (xy -2.155995 20.224077) (xy -2.08567 20.204214)
(xy -2.033712 20.190525) (xy -2.003489 20.183971) (xy -1.997446 20.183714) (xy -1.98751 20.199062)) (layer F.Cu) (width 0.01))
(fp_poly (pts (xy -1.143 20.486511) (xy -1.1445 20.543884) (xy -1.148503 20.590721) (xy -1.154268 20.619667)
(xy -1.156929 20.624618) (xy -1.16514 20.645127) (xy -1.172761 20.684824) (xy -1.177666 20.729745)
(xy -1.183535 20.788109) (xy -1.191618 20.844032) (xy -1.197918 20.875586) (xy -1.203775 20.906475)
(xy -1.202537 20.91924) (xy -1.200083 20.91792) (xy -1.186467 20.893479) (xy -1.171612 20.853167)
(xy -1.158348 20.807043) (xy -1.149505 20.765169) (xy -1.147915 20.737605) (xy -1.148607 20.734964)
(xy -1.148734 20.713963) (xy -1.141608 20.68236) (xy -1.130452 20.649519) (xy -1.118487 20.624804)
(xy -1.108935 20.617578) (xy -1.108374 20.618034) (xy -1.107749 20.633148) (xy -1.109067 20.672666)
(xy -1.112122 20.732856) (xy -1.116706 20.809982) (xy -1.122611 20.900309) (xy -1.129453 20.997673)
(xy -1.145347 21.271979) (xy -1.1544 21.564437) (xy -1.156839 21.825207) (xy -1.157164 21.936428)
(xy -1.157958 22.039158) (xy -1.159154 22.129844) (xy -1.160687 22.204935) (xy -1.162491 22.260877)
(xy -1.1645 22.294117) (xy -1.165677 22.301457) (xy -1.172649 22.31011) (xy -1.188597 22.316245)
(xy -1.217632 22.32027) (xy -1.263869 22.322591) (xy -1.331419 22.323615) (xy -1.391455 22.323778)
(xy -1.608667 22.323778) (xy -1.608667 21.705178) (xy -1.608432 21.557963) (xy -1.60776 21.404541)
(xy -1.606706 21.250487) (xy -1.605406 21.110222) (xy -1.312334 21.110222) (xy -1.307171 21.121837)
(xy -1.302926 21.11963) (xy -1.301238 21.102883) (xy -1.302926 21.100815) (xy -1.311315 21.102752)
(xy -1.312334 21.110222) (xy -1.605406 21.110222) (xy -1.605323 21.101378) (xy -1.604179 21.005902)
(xy -1.269893 21.005902) (xy -1.269479 21.030491) (xy -1.264547 21.039667) (xy -1.258907 21.027468)
(xy -1.252996 20.998131) (xy -1.252989 20.998079) (xy -1.251999 20.971292) (xy -1.257962 20.96337)
(xy -1.258443 20.963634) (xy -1.265855 20.97914) (xy -1.269893 21.005902) (xy -1.604179 21.005902)
(xy -1.603662 20.962789) (xy -1.601778 20.840294) (xy -1.599723 20.739469) (xy -1.599349 20.724456)
(xy -1.590031 20.362333) (xy -1.143 20.362333) (xy -1.143 20.486511)) (layer F.Cu) (width 0.01))
(fp_poly (pts (xy -0.467109 20.339651) (xy -0.465836 20.339812) (xy -0.386437 20.356332) (xy -0.307562 20.383023)
(xy -0.239683 20.415897) (xy -0.206667 20.43849) (xy -0.180895 20.462987) (xy -0.175079 20.48243)
(xy -0.182754 20.502154) (xy -0.191884 20.538823) (xy -0.189285 20.563718) (xy -0.179451 20.594703)
(xy -0.166893 20.560755) (xy -0.154334 20.526807) (xy -0.097649 20.582153) (xy -0.036718 20.65349)
(xy 0.004952 20.732226) (xy 0.029812 20.824533) (xy 0.040023 20.928645) (xy 0.042282 20.991567)
(xy 0.042238 21.031728) (xy 0.038826 21.054564) (xy 0.030984 21.065509) (xy 0.017646 21.069999)
(xy 0.012176 21.070938) (xy -0.024594 21.0658) (xy -0.050633 21.050648) (xy -0.069322 21.036209)
(xy -0.081815 21.0374) (xy -0.094798 21.058098) (xy -0.106232 21.082883) (xy -0.133898 21.128476)
(xy -0.172144 21.173746) (xy -0.186578 21.187242) (xy -0.24079 21.233645) (xy -0.175838 21.25)
(xy -0.076588 21.288666) (xy 0.006704 21.350355) (xy 0.073545 21.434479) (xy 0.123439 21.540452)
(xy 0.149957 21.63638) (xy 0.162907 21.757393) (xy 0.151954 21.873595) (xy 0.118861 21.981472)
(xy 0.065392 22.077508) (xy -0.006692 22.158188) (xy -0.095626 22.219997) (xy -0.156026 22.24645)
(xy -0.194414 22.258289) (xy -0.220056 22.258167) (xy -0.246359 22.244731) (xy -0.259018 22.236061)
(xy -0.304492 22.198497) (xy -0.342161 22.152084) (xy -0.366889 22.11216) (xy -0.401921 22.053374)
(xy -0.427783 22.015826) (xy -0.447914 21.995508) (xy -0.465753 21.988408) (xy -0.47302 21.988372)
(xy -0.50708 21.980261) (xy -0.547676 21.956096) (xy -0.585212 21.922984) (xy -0.610092 21.888026)
(xy -0.610842 21.886333) (xy -0.625928 21.851056) (xy -0.631741 21.885058) (xy -0.627695 21.918609)
(xy -0.61511 21.93162) (xy -0.595996 21.947936) (xy -0.592667 21.955944) (xy -0.604599 21.969449)
(xy -0.632326 21.976643) (xy -0.663743 21.975478) (xy -0.67835 21.970456) (xy -0.712661 21.966554)
(xy -0.743097 21.978149) (xy -0.764082 21.991978) (xy -0.763833 21.998941) (xy -0.761295 21.999135)
(xy -0.732372 21.990352) (xy -0.724714 21.984513) (xy -0.706036 21.979586) (xy -0.691941 21.991569)
(xy -0.665737 22.007022) (xy -0.628914 22.013333) (xy -0.588254 22.019302) (xy -0.557673 22.032548)
(xy -0.526751 22.045417) (xy -0.507238 22.045445) (xy -0.48163 22.051571) (xy -0.457223 22.07671)
(xy -0.440739 22.112194) (xy -0.437445 22.135413) (xy -0.428124 22.163414) (xy -0.404401 22.199793)
(xy -0.387873 22.219108) (xy -0.360889 22.249833) (xy -0.345544 22.271519) (xy -0.344125 22.277495)
(xy -0.358918 22.279966) (xy -0.39677 22.283982) (xy -0.452585 22.289141) (xy -0.521269 22.295038)
(xy -0.597724 22.301272) (xy -0.676857 22.307439) (xy -0.75357 22.313136) (xy -0.822769 22.317961)
(xy -0.879358 22.321511) (xy -0.918241 22.323382) (xy -0.926791 22.323566) (xy -0.945313 22.320023)
(xy -0.956268 22.304555) (xy -0.963223 22.270396) (xy -0.965715 22.249695) (xy -0.968804 22.216492)
(xy -0.973462 22.159503) (xy -0.979359 22.083098) (xy -0.986165 21.991648) (xy -0.993551 21.889525)
(xy -0.996259 21.851056) (xy -0.663223 21.851056) (xy -0.656167 21.858111) (xy -0.649112 21.851056)
(xy -0.656167 21.844) (xy -0.663223 21.851056) (xy -0.996259 21.851056) (xy -1.001185 21.781099)
(xy -1.002389 21.763726) (xy -1.030873 21.351841) (xy -0.97052 21.294884) (xy -0.93346 21.263833)
(xy -0.900646 21.242999) (xy -0.884152 21.237575) (xy -0.860046 21.225367) (xy -0.850547 21.2082)
(xy -0.833138 21.184178) (xy -0.79766 21.158711) (xy -0.780998 21.150054) (xy -0.736802 21.124306)
(xy -0.69948 21.094272) (xy -0.690003 21.083826) (xy -0.655026 21.051795) (xy -0.616234 21.030157)
(xy -0.592336 21.019193) (xy -0.589749 21.013006) (xy -0.592667 21.012605) (xy -0.619844 21.018123)
(xy -0.652639 21.031861) (xy -0.679543 21.044236) (xy -0.68987 21.040977) (xy -0.691445 21.024759)
(xy -0.682399 20.999251) (xy -0.659237 20.963703) (xy -0.640414 20.94093) (xy -0.614271 20.910012)
(xy -0.60035 20.889496) (xy -0.600277 20.884445) (xy -0.62661 20.895309) (xy -0.661288 20.923485)
(xy -0.697705 20.962347) (xy -0.729258 21.005267) (xy -0.740749 21.025387) (xy -0.765546 21.065783)
(xy -0.791374 21.094865) (xy -0.804851 21.103331) (xy -0.832401 21.123126) (xy -0.847024 21.146438)
(xy -0.864621 21.171265) (xy -0.881873 21.171797) (xy -0.906313 21.174376) (xy -0.942712 21.191273)
(xy -0.96047 21.202578) (xy -0.997573 21.224271) (xy -1.023067 21.231259) (xy -1.02895 21.228928)
(xy -1.033099 21.211832) (xy -1.038523 21.170517) (xy -1.044842 21.108937) (xy -1.051677 21.031045)
(xy -1.058649 20.940797) (xy -1.064009 20.863278) (xy -1.067007 20.818538) (xy -0.20311 20.818538)
(xy -0.190423 20.906057) (xy -0.158338 20.979695) (xy -0.136627 21.002417) (xy -0.114333 21.011505)
(xy -0.100194 21.004437) (xy -0.098778 20.99688) (xy -0.103861 20.975274) (xy -0.116432 20.940265)
(xy -0.119945 20.931657) (xy -0.131825 20.886962) (xy -0.139377 20.824956) (xy -0.141328 20.77336)
(xy -0.143659 20.713916) (xy -0.149695 20.666613) (xy -0.158385 20.636196) (xy -0.168678 20.627411)
(xy -0.172884 20.630853) (xy -0.178062 20.647154) (xy -0.186503 20.683029) (xy -0.195214 20.724931)
(xy -0.20311 20.818538) (xy -1.067007 20.818538) (xy -1.070803 20.761917) (xy -1.077485 20.665961)
(xy -1.083697 20.58027) (xy -1.089082 20.509705) (xy -1.093281 20.459129) (xy -1.095281 20.438591)
(xy -1.103376 20.366682) (xy -0.82116 20.348398) (xy -0.729398 20.343178) (xy -0.642323 20.339553)
(xy -0.565725 20.337664) (xy -0.505391 20.33765) (xy -0.467109 20.339651)) (layer F.Cu) (width 0.01))
(fp_poly (pts (xy 8.488798 10.747289) (xy 8.536113 10.772233) (xy 8.599885 10.811503) (xy 8.677512 10.863213)
(xy 8.766394 10.925479) (xy 8.863932 10.996416) (xy 8.967523 11.074139) (xy 9.074568 11.156763)
(xy 9.182466 11.242404) (xy 9.288617 11.329175) (xy 9.370389 11.398019) (xy 9.464754 11.48423)
(xy 9.552274 11.576871) (xy 9.63776 11.681637) (xy 9.726027 11.804224) (xy 9.773049 11.8745)
(xy 9.944348 12.115813) (xy 10.140854 12.35535) (xy 10.363982 12.594827) (xy 10.385384 12.616402)
(xy 10.51822 12.745929) (xy 10.640029 12.855651) (xy 10.756033 12.94884) (xy 10.871451 13.028768)
(xy 10.991504 13.098709) (xy 11.121411 13.161933) (xy 11.266392 13.221714) (xy 11.431669 13.281324)
(xy 11.463144 13.292008) (xy 11.55252 13.32335) (xy 11.641632 13.356795) (xy 11.723408 13.389533)
(xy 11.790775 13.418755) (xy 11.828321 13.437061) (xy 11.872458 13.463162) (xy 11.933985 13.503275)
(xy 12.00805 13.554041) (xy 12.089803 13.612101) (xy 12.174391 13.674094) (xy 12.218511 13.707243)
(xy 12.350955 13.80694) (xy 12.465289 13.89107) (xy 12.565243 13.961936) (xy 12.654552 14.021839)
(xy 12.736948 14.07308) (xy 12.816166 14.117963) (xy 12.895937 14.158788) (xy 12.979995 14.197858)
(xy 13.072074 14.237474) (xy 13.091533 14.245564) (xy 13.191725 14.289617) (xy 13.283873 14.336364)
(xy 13.375271 14.390065) (xy 13.473214 14.45498) (xy 13.574888 14.527915) (xy 13.660548 14.589757)
(xy 13.730072 14.635937) (xy 13.789155 14.668679) (xy 13.843494 14.690207) (xy 13.898784 14.702744)
(xy 13.96072 14.708514) (xy 14.034998 14.709741) (xy 14.040555 14.709715) (xy 14.14924 14.706029)
(xy 14.235757 14.69591) (xy 14.29085 14.683329) (xy 14.378868 14.657825) (xy 14.427639 14.695024)
(xy 14.474067 14.736018) (xy 14.532506 14.796223) (xy 14.599438 14.871285) (xy 14.671342 14.956848)
(xy 14.744701 15.04856) (xy 14.815994 15.142066) (xy 14.881703 15.233011) (xy 14.938309 15.317041)
(xy 14.965014 15.359945) (xy 15.033998 15.490865) (xy 15.086381 15.62755) (xy 15.123265 15.774666)
(xy 15.145748 15.936878) (xy 15.15493 16.118852) (xy 15.155276 16.167249) (xy 15.144985 16.365535)
(xy 15.113912 16.546128) (xy 15.061529 16.710988) (xy 14.987306 16.862079) (xy 14.953109 16.916524)
(xy 14.864625 17.033007) (xy 14.752614 17.15455) (xy 14.620648 17.27834) (xy 14.472303 17.401566)
(xy 14.311152 17.521413) (xy 14.140769 17.635069) (xy 13.964728 17.73972) (xy 13.793611 17.829158)
(xy 13.62152 17.908824) (xy 13.455767 17.976636) (xy 13.291677 18.03373) (xy 13.124575 18.08124)
(xy 12.949787 18.120302) (xy 12.762639 18.152049) (xy 12.558455 18.177616) (xy 12.332562 18.198139)
(xy 12.248444 18.204276) (xy 12.156121 18.209228) (xy 12.03847 18.213225) (xy 11.89837 18.216269)
(xy 11.738698 18.218362) (xy 11.562332 18.219505) (xy 11.372152 18.2197) (xy 11.171035 18.21895)
(xy 10.961859 18.217256) (xy 10.747502 18.214621) (xy 10.530843 18.211046) (xy 10.314759 18.206532)
(xy 10.168231 18.202891) (xy 9.886167 18.196303) (xy 9.630625 18.192286) (xy 9.400013 18.190895)
(xy 9.192744 18.192183) (xy 9.007229 18.196204) (xy 8.841877 18.203014) (xy 8.695101 18.212664)
(xy 8.565311 18.225211) (xy 8.450918 18.240707) (xy 8.350332 18.259207) (xy 8.321152 18.265689)
(xy 8.254357 18.280079) (xy 8.209458 18.286469) (xy 8.181333 18.285005) (xy 8.164858 18.275839)
(xy 8.160582 18.270361) (xy 8.158003 18.252729) (xy 8.155556 18.210051) (xy 8.153315 18.145507)
(xy 8.151352 18.062271) (xy 8.14974 17.963523) (xy 8.14855 17.852439) (xy 8.147855 17.732196)
(xy 8.147787 17.709239) (xy 8.147199 17.580508) (xy 8.146135 17.454193) (xy 8.144666 17.334613)
(xy 8.142864 17.226083) (xy 8.1408 17.13292) (xy 8.138546 17.059439) (xy 8.136225 17.010739)
(xy 8.127491 16.895881) (xy 8.115869 16.775301) (xy 8.101028 16.647056) (xy 8.082639 16.509202)
(xy 8.060373 16.359794) (xy 8.0339 16.19689) (xy 8.002892 16.018545) (xy 7.967018 15.822814)
(xy 7.92595 15.607755) (xy 7.879358 15.371422) (xy 7.826912 15.111873) (xy 7.768284 14.827162)
(xy 7.757307 14.774333) (xy 7.656028 14.2875) (xy 7.657046 13.215056) (xy 7.657336 12.997942)
(xy 7.657831 12.806938) (xy 7.658632 12.63993) (xy 7.659836 12.494807) (xy 7.661545 12.369455)
(xy 7.663855 12.261761) (xy 7.666868 12.169614) (xy 7.670681 12.090901) (xy 7.675393 12.023508)
(xy 7.681105 11.965324) (xy 7.687914 11.914235) (xy 7.695921 11.86813) (xy 7.705223 11.824894)
(xy 7.715921 11.782417) (xy 7.72594 11.74619) (xy 7.757351 11.653795) (xy 7.802124 11.546621)
(xy 7.856452 11.432287) (xy 7.916525 11.318412) (xy 7.978534 11.212614) (xy 8.035705 11.126611)
(xy 8.110314 11.028998) (xy 8.185888 10.941268) (xy 8.259577 10.866023) (xy 8.328531 10.805866)
(xy 8.389902 10.7634) (xy 8.44084 10.741227) (xy 8.46054 10.738556) (xy 8.488798 10.747289)) (layer F.Cu) (width 0.01))
(fp_poly (pts (xy -3.902078 -1.992341) (xy -3.869219 -1.970124) (xy -3.822189 -1.93272) (xy -3.758851 -1.878694)
(xy -3.68157 -1.810612) (xy -3.582972 -1.722447) (xy -3.464971 -1.616036) (xy -3.328732 -1.492461)
(xy -3.175418 -1.352805) (xy -3.006195 -1.198147) (xy -2.822224 -1.02957) (xy -2.624672 -0.848155)
(xy -2.414701 -0.654984) (xy -2.193475 -0.451139) (xy -1.962158 -0.2377) (xy -1.721915 -0.015749)
(xy -1.473909 0.213632) (xy -1.219304 0.449361) (xy -0.959264 0.690358) (xy -0.694954 0.93554)
(xy -0.427536 1.183826) (xy -0.158175 1.434135) (xy 0.111965 1.685385) (xy 0.38172 1.936494)
(xy 0.649926 2.186382) (xy 0.91542 2.433966) (xy 1.177037 2.678165) (xy 1.433614 2.917897)
(xy 1.683986 3.152082) (xy 1.926989 3.379637) (xy 2.16146 3.599481) (xy 2.386235 3.810532)
(xy 2.60015 4.011709) (xy 2.80204 4.20193) (xy 2.990742 4.380115) (xy 3.165092 4.54518)
(xy 3.323926 4.696046) (xy 3.438041 4.804833) (xy 3.497681 4.864545) (xy 3.536772 4.909776)
(xy 3.555117 4.939813) (xy 3.55252 4.953944) (xy 3.528783 4.951458) (xy 3.483709 4.931642)
(xy 3.471333 4.925115) (xy 3.423805 4.894774) (xy 3.356599 4.844402) (xy 3.2697 4.773986)
(xy 3.163093 4.683514) (xy 3.036764 4.572973) (xy 2.890697 4.442351) (xy 2.724879 4.291634)
(xy 2.539294 4.120809) (xy 2.469444 4.056061) (xy 1.984806 3.605961) (xy 1.52077 3.174769)
(xy 1.077148 2.762305) (xy 0.65375 2.368392) (xy 0.250387 1.992852) (xy -0.133128 1.635507)
(xy -0.496986 1.296179) (xy -0.841375 0.974689) (xy -1.166484 0.670861) (xy -1.472501 0.384515)
(xy -1.759615 0.115474) (xy -2.028017 -0.136439) (xy -2.277893 -0.371404) (xy -2.509433 -0.589598)
(xy -2.722826 -0.791199) (xy -2.918261 -0.976385) (xy -3.095926 -1.145334) (xy -3.256011 -1.298224)
(xy -3.398704 -1.435233) (xy -3.524194 -1.556539) (xy -3.63267 -1.662321) (xy -3.72432 -1.752755)
(xy -3.799335 -1.82802) (xy -3.857901 -1.888294) (xy -3.900209 -1.933755) (xy -3.926447 -1.964581)
(xy -3.936804 -1.98095) (xy -3.937 -1.982226) (xy -3.933833 -1.996957) (xy -3.922904 -2.000807)
(xy -3.902078 -1.992341)) (layer F.Cu) (width 0.01))
(fp_poly (pts (xy -2.852627 -3.040773) (xy -2.823606 -3.023323) (xy -2.815964 -3.017934) (xy -2.794212 -3.000147)
(xy -2.752796 -2.963881) (xy -2.692621 -2.90998) (xy -2.61459 -2.839289) (xy -2.519611 -2.75265)
(xy -2.408587 -2.650909) (xy -2.282424 -2.53491) (xy -2.142028 -2.405496) (xy -1.988302 -2.263512)
(xy -1.822152 -2.109801) (xy -1.644483 -1.945209) (xy -1.456201 -1.770578) (xy -1.25821 -1.586754)
(xy -1.051416 -1.394579) (xy -0.836723 -1.194899) (xy -0.615037 -0.988557) (xy -0.387263 -0.776397)
(xy -0.154305 -0.559264) (xy 0.082931 -0.338002) (xy 0.323539 -0.113454) (xy 0.566616 0.113535)
(xy 0.811255 0.342121) (xy 1.056552 0.57146) (xy 1.301601 0.800708) (xy 1.545498 1.029021)
(xy 1.787338 1.255555) (xy 2.026215 1.479465) (xy 2.261224 1.699908) (xy 2.49146 1.916039)
(xy 2.716018 2.127015) (xy 2.933993 2.331991) (xy 3.144481 2.530123) (xy 3.346575 2.720568)
(xy 3.53937 2.90248) (xy 3.721962 3.075016) (xy 3.893446 3.237332) (xy 4.019474 3.356837)
(xy 4.546449 3.856977) (xy 4.537294 3.935794) (xy 4.519477 4.040643) (xy 4.489655 4.141694)
(xy 4.4454 4.244737) (xy 4.384285 4.355559) (xy 4.317604 4.459699) (xy 4.208116 4.607182)
(xy 4.094445 4.729482) (xy 3.995203 4.813832) (xy 3.951932 4.8458) (xy 3.917427 4.869826)
(xy 3.897645 4.881807) (xy 3.895579 4.882445) (xy 3.882626 4.873462) (xy 3.852446 4.848591)
(xy 3.808675 4.810948) (xy 3.754952 4.76365) (xy 3.710712 4.72407) (xy 3.498913 4.532773)
(xy 3.267185 4.322383) (xy 3.015988 4.093322) (xy 2.74578 3.846012) (xy 2.457021 3.580875)
(xy 2.15017 3.298334) (xy 1.825684 2.99881) (xy 1.484024 2.682726) (xy 1.125648 2.350504)
(xy 0.751015 2.002566) (xy 0.667854 1.925249) (xy 0.584816 1.848034) (xy 0.482721 1.753105)
(xy 0.363185 1.641962) (xy 0.227822 1.516106) (xy 0.078245 1.377037) (xy -0.083929 1.226258)
(xy -0.257089 1.065268) (xy -0.439618 0.895568) (xy -0.629903 0.71866) (xy -0.826329 0.536043)
(xy -1.027283 0.34922) (xy -1.231149 0.15969) (xy -1.436314 -0.031045) (xy -1.641163 -0.221485)
(xy -1.78998 -0.359833) (xy -1.981782 -0.53823) (xy -2.168027 -0.711634) (xy -2.347661 -0.879053)
(xy -2.519628 -1.039499) (xy -2.682873 -1.191982) (xy -2.836341 -1.33551) (xy -2.978978 -1.469096)
(xy -3.109729 -1.591748) (xy -3.227537 -1.702476) (xy -3.33135 -1.800291) (xy -3.420111 -1.884203)
(xy -3.492765 -1.953222) (xy -3.548258 -2.006358) (xy -3.585535 -2.042621) (xy -3.603541 -2.061021)
(xy -3.60509 -2.063045) (xy -3.607738 -2.082978) (xy -3.600845 -2.107675) (xy -3.582476 -2.139829)
(xy -3.5507 -2.182135) (xy -3.503582 -2.23729) (xy -3.439191 -2.307986) (xy -3.397192 -2.352899)
(xy -3.268164 -2.496029) (xy -3.160332 -2.629196) (xy -3.070506 -2.756638) (xy -2.995493 -2.882596)
(xy -2.98813 -2.896314) (xy -2.957568 -2.949593) (xy -2.927536 -2.994761) (xy -2.902979 -3.024619)
(xy -2.895845 -3.030633) (xy -2.872995 -3.042619) (xy -2.852627 -3.040773)) (layer F.Cu) (width 0.01))
(fp_poly (pts (xy -3.576251 -3.667379) (xy -3.563182 -3.662631) (xy -3.490207 -3.62118) (xy -3.436827 -3.561426)
(xy -3.403146 -3.485477) (xy -3.389268 -3.395442) (xy -3.395295 -3.293426) (xy -3.421331 -3.181538)
(xy -3.467479 -3.061884) (xy -3.528048 -2.946314) (xy -3.574416 -2.878718) (xy -3.637724 -2.801711)
(xy -3.71163 -2.721835) (xy -3.789793 -2.645631) (xy -3.865873 -2.579641) (xy -3.927327 -2.534389)
(xy -4.032015 -2.475031) (xy -4.135963 -2.432888) (xy -4.234714 -2.409065) (xy -4.323812 -2.404667)
(xy -4.393675 -2.418816) (xy -4.461892 -2.45667) (xy -4.521626 -2.512782) (xy -4.553845 -2.560324)
(xy -4.567034 -2.590059) (xy -4.563641 -2.60872) (xy -4.542002 -2.629064) (xy -4.524106 -2.641252)
(xy -4.502767 -2.648541) (xy -4.471719 -2.651573) (xy -4.4247 -2.650992) (xy -4.360334 -2.647725)
(xy -4.285799 -2.6445) (xy -4.231088 -2.645333) (xy -4.188018 -2.650832) (xy -4.148401 -2.661602)
(xy -4.141612 -2.663934) (xy -4.049454 -2.706689) (xy -3.952288 -2.769665) (xy -3.856427 -2.847228)
(xy -3.768183 -2.933748) (xy -3.69387 -3.023591) (xy -3.639799 -3.111127) (xy -3.639351 -3.112034)
(xy -3.615065 -3.164239) (xy -3.60073 -3.20625) (xy -3.59385 -3.249539) (xy -3.59193 -3.305577)
(xy -3.591966 -3.329925) (xy -3.593902 -3.396425) (xy -3.600225 -3.445642) (xy -3.613055 -3.488423)
(xy -3.630633 -3.527778) (xy -3.659086 -3.595519) (xy -3.668034 -3.64303) (xy -3.657317 -3.670631)
(xy -3.626776 -3.678641) (xy -3.576251 -3.667379)) (layer F.Cu) (width 0.01))
(fp_poly (pts (xy -5.829455 -4.844134) (xy -5.796439 -4.825697) (xy -5.74362 -4.791114) (xy -5.671786 -4.74057)
(xy -5.583613 -4.676163) (xy -5.481778 -4.599986) (xy -5.368956 -4.514136) (xy -5.247823 -4.420707)
(xy -5.121056 -4.321795) (xy -4.991331 -4.219496) (xy -4.861323 -4.115904) (xy -4.73371 -4.013115)
(xy -4.611168 -3.913224) (xy -4.496372 -3.818327) (xy -4.391998 -3.730519) (xy -4.332112 -3.679188)
(xy -4.257286 -3.613474) (xy -4.180069 -3.543904) (xy -4.106899 -3.476399) (xy -4.044216 -3.41688)
(xy -4.009071 -3.382172) (xy -3.890642 -3.262086) (xy -3.984126 -3.144123) (xy -4.047282 -3.069296)
(xy -4.100166 -3.017843) (xy -4.145078 -2.98787) (xy -4.184322 -2.977487) (xy -4.186887 -2.977444)
(xy -4.213706 -2.984797) (xy -4.252598 -3.00357) (xy -4.276432 -3.017784) (xy -4.30813 -3.041253)
(xy -4.350388 -3.077926) (xy -4.404111 -3.128746) (xy -4.470204 -3.194655) (xy -4.549571 -3.276595)
(xy -4.643116 -3.375509) (xy -4.751744 -3.492339) (xy -4.876359 -3.628027) (xy -5.017866 -3.783515)
(xy -5.124818 -3.901722) (xy -5.271007 -4.063883) (xy -5.399074 -4.206561) (xy -5.510062 -4.330994)
(xy -5.605015 -4.438416) (xy -5.684976 -4.530063) (xy -5.750986 -4.607172) (xy -5.804091 -4.670977)
(xy -5.845331 -4.722715) (xy -5.875751 -4.763621) (xy -5.896394 -4.794931) (xy -5.908301 -4.817881)
(xy -5.912517 -4.833706) (xy -5.912556 -4.835051) (xy -5.90281 -4.857822) (xy -5.874598 -4.860808)
(xy -5.829455 -4.844134)) (layer F.Cu) (width 0.01))
(fp_poly (pts (xy -2.383437 -14.334425) (xy -2.295888 -14.331382) (xy -2.229906 -14.326068) (xy -2.220169 -14.324798)
(xy -2.167821 -14.318611) (xy -2.094922 -14.311691) (xy -2.009108 -14.30468) (xy -1.918018 -14.29822)
(xy -1.862667 -14.294796) (xy -1.716547 -14.285462) (xy -1.592443 -14.275425) (xy -1.484146 -14.263943)
(xy -1.385452 -14.250278) (xy -1.290151 -14.23369) (xy -1.2065 -14.216592) (xy -1.132553 -14.200945)
(xy -1.058245 -14.185805) (xy -0.994125 -14.173295) (xy -0.963077 -14.16761) (xy -0.921341 -14.15845)
(xy -0.857071 -14.141968) (xy -0.774611 -14.119446) (xy -0.678303 -14.09217) (xy -0.572492 -14.061423)
(xy -0.461521 -14.02849) (xy -0.349733 -13.994653) (xy -0.241473 -13.961198) (xy -0.141084 -13.929408)
(xy -0.052908 -13.900567) (xy -0.007056 -13.884999) (xy 0.186785 -13.812676) (xy 0.383842 -13.72932)
(xy 0.577954 -13.637986) (xy 0.762959 -13.54173) (xy 0.932697 -13.443608) (xy 1.081005 -13.346676)
(xy 1.087326 -13.342214) (xy 1.134811 -13.312354) (xy 1.183408 -13.287549) (xy 1.204513 -13.279211)
(xy 1.236038 -13.266445) (xy 1.286372 -13.243348) (xy 1.349404 -13.212835) (xy 1.419021 -13.177822)
(xy 1.443631 -13.165137) (xy 1.573651 -13.099585) (xy 1.707745 -13.035975) (xy 1.848939 -12.973099)
(xy 2.00026 -12.909752) (xy 2.164733 -12.844725) (xy 2.345385 -12.77681) (xy 2.54524 -12.704801)
(xy 2.767326 -12.627489) (xy 2.928055 -12.572801) (xy 3.142111 -12.499575) (xy 3.330779 -12.432664)
(xy 3.495892 -12.370944) (xy 3.639285 -12.31329) (xy 3.762794 -12.258577) (xy 3.868253 -12.20568)
(xy 3.957496 -12.153475) (xy 4.03236 -12.100837) (xy 4.094677 -12.04664) (xy 4.146284 -11.989762)
(xy 4.189015 -11.929075) (xy 4.224704 -11.863456) (xy 4.255187 -11.79178) (xy 4.270174 -11.750028)
(xy 4.295178 -11.660125) (xy 4.310019 -11.564649) (xy 4.314491 -11.460378) (xy 4.308386 -11.344095)
(xy 4.291499 -11.212578) (xy 4.263622 -11.062609) (xy 4.224548 -10.890967) (xy 4.211626 -10.838797)
(xy 4.182758 -10.72626) (xy 4.153501 -10.616343) (xy 4.124867 -10.512493) (xy 4.097868 -10.418155)
(xy 4.073515 -10.336777) (xy 4.052818 -10.271804) (xy 4.036791 -10.226683) (xy 4.026442 -10.204861)
(xy 4.025249 -10.203665) (xy 4.008668 -10.191893) (xy 3.975642 -10.168232) (xy 3.932615 -10.137304)
(xy 3.922136 -10.129759) (xy 3.823191 -10.063068) (xy 3.70834 -9.994375) (xy 3.575307 -9.922497)
(xy 3.421812 -9.846253) (xy 3.245578 -9.764461) (xy 3.061015 -9.683132) (xy 2.93289 -9.627612)
(xy 2.813822 -9.575581) (xy 2.706261 -9.528141) (xy 2.612662 -9.48639) (xy 2.535474 -9.45143)
(xy 2.477151 -9.424362) (xy 2.440144 -9.406285) (xy 2.427111 -9.398637) (xy 2.411373 -9.388981)
(xy 2.377723 -9.37206) (xy 2.342444 -9.355643) (xy 2.294269 -9.332272) (xy 2.232582 -9.300067)
(xy 2.168096 -9.264678) (xy 2.144888 -9.251456) (xy 2.05676 -9.202384) (xy 1.945516 -9.143327)
(xy 1.813895 -9.075613) (xy 1.664637 -9.000567) (xy 1.500482 -8.919517) (xy 1.32417 -8.833788)
(xy 1.138441 -8.744708) (xy 0.946035 -8.653603) (xy 0.749691 -8.561799) (xy 0.55215 -8.470623)
(xy 0.356151 -8.381401) (xy 0.239888 -8.329115) (xy -0.028936 -8.209586) (xy -0.274492 -8.102139)
(xy -0.498687 -8.006098) (xy -0.703424 -7.920784) (xy -0.890611 -7.845521) (xy -1.062151 -7.77963)
(xy -1.219951 -7.722435) (xy -1.365915 -7.673257) (xy -1.501949 -7.63142) (xy -1.629959 -7.596245)
(xy -1.75185 -7.567056) (xy -1.869526 -7.543175) (xy -1.984895 -7.523924) (xy -2.050362 -7.514761)
(xy -2.123003 -7.50741) (xy -2.212333 -7.501629) (xy -2.311222 -7.497557) (xy -2.412542 -7.495332)
(xy -2.509161 -7.495091) (xy -2.593951 -7.496972) (xy -2.659783 -7.501112) (xy -2.674056 -7.502721)
(xy -2.920881 -7.548309) (xy -3.156463 -7.619423) (xy -3.379906 -7.71574) (xy -3.576991 -7.82827)
(xy -3.628572 -7.863688) (xy -3.694638 -7.912355) (xy -3.772053 -7.971671) (xy -3.857682 -8.039039)
(xy -3.94839 -8.111863) (xy -4.041042 -8.187543) (xy -4.132504 -8.263483) (xy -4.219639 -8.337085)
(xy -4.299313 -8.405752) (xy -4.36839 -8.466886) (xy -4.423737 -8.517889) (xy -4.462217 -8.556164)
(xy -4.478035 -8.574829) (xy -4.506683 -8.628978) (xy -4.509224 -8.672796) (xy -4.485605 -8.706802)
(xy -4.462978 -8.720774) (xy -4.418323 -8.73365) (xy -4.349084 -8.741818) (xy -4.258502 -8.745273)
(xy -4.149819 -8.744013) (xy -4.026279 -8.738033) (xy -3.891122 -8.72733) (xy -3.832482 -8.72151)
(xy -3.632109 -8.70324) (xy -3.420126 -8.688986) (xy -3.201113 -8.678764) (xy -2.979652 -8.672593)
(xy -2.760322 -8.67049) (xy -2.547704 -8.672472) (xy -2.346378 -8.678555) (xy -2.160925 -8.688759)
(xy -1.995926 -8.703099) (xy -1.904731 -8.714239) (xy -1.716523 -8.746442) (xy -1.518567 -8.792231)
(xy -1.309053 -8.852244) (xy -1.086167 -8.927118) (xy -0.848095 -9.017489) (xy -0.593027 -9.123995)
(xy -0.319147 -9.247274) (xy -0.119945 -9.341633) (xy 0.052231 -9.423132) (xy 0.221967 -9.500132)
(xy 0.393447 -9.57431) (xy 0.570858 -9.647346) (xy 0.758387 -9.720917) (xy 0.96022 -9.796702)
(xy 1.180544 -9.876379) (xy 1.423545 -9.961625) (xy 1.446388 -9.969531) (xy 1.723973 -10.066515)
(xy 1.975415 -10.156638) (xy 2.201796 -10.240476) (xy 2.404199 -10.318606) (xy 2.583705 -10.391604)
(xy 2.741397 -10.460046) (xy 2.878356 -10.52451) (xy 2.995665 -10.585572) (xy 3.094404 -10.643809)
(xy 3.175657 -10.699798) (xy 3.240505 -10.754115) (xy 3.290029 -10.807336) (xy 3.325313 -10.860039)
(xy 3.347438 -10.9128) (xy 3.357486 -10.966195) (xy 3.358365 -10.988574) (xy 3.347444 -11.076968)
(xy 3.317324 -11.16027) (xy 3.271577 -11.232148) (xy 3.213778 -11.286271) (xy 3.17946 -11.305602)
(xy 3.096698 -11.327712) (xy 3.010642 -11.322199) (xy 2.921156 -11.288995) (xy 2.82811 -11.228036)
(xy 2.731369 -11.139254) (xy 2.644839 -11.040256) (xy 2.595495 -10.981525) (xy 2.544697 -10.925462)
(xy 2.498985 -10.87906) (xy 2.469527 -10.85284) (xy 2.431049 -10.824926) (xy 2.383892 -10.795596)
(xy 2.326217 -10.764058) (xy 2.256182 -10.729521) (xy 2.171946 -10.69119) (xy 2.071668 -10.648274)
(xy 1.953508 -10.599981) (xy 1.815623 -10.545517) (xy 1.656173 -10.484091) (xy 1.473317 -10.414909)
(xy 1.312333 -10.35471) (xy 0.997708 -10.238186) (xy 0.706926 -10.131947) (xy 0.437814 -10.03534)
(xy 0.188198 -9.947715) (xy -0.044098 -9.868421) (xy -0.261246 -9.796806) (xy -0.465422 -9.732221)
(xy -0.658799 -9.674013) (xy -0.843551 -9.621532) (xy -1.021853 -9.574126) (xy -1.195878 -9.531145)
(xy -1.367802 -9.491938) (xy -1.539797 -9.455854) (xy -1.714038 -9.422242) (xy -1.8927 -9.39045)
(xy -1.92812 -9.384428) (xy -2.224362 -9.337927) (xy -2.503995 -9.301157) (xy -2.765205 -9.274267)
(xy -3.006175 -9.257407) (xy -3.22509 -9.250727) (xy -3.420135 -9.254377) (xy -3.469667 -9.257233)
(xy -3.701365 -9.280232) (xy -3.910823 -9.317553) (xy -4.100991 -9.370791) (xy -4.274816 -9.44154)
(xy -4.435248 -9.531395) (xy -4.585236 -9.64195) (xy -4.727729 -9.774799) (xy -4.865677 -9.931537)
(xy -4.969768 -10.068278) (xy -5.066995 -10.19967) (xy -5.155547 -10.310433) (xy -5.240124 -10.404789)
(xy -5.325429 -10.486959) (xy -5.416165 -10.561165) (xy -5.517033 -10.631626) (xy -5.632736 -10.702566)
(xy -5.749195 -10.767995) (xy -5.865994 -10.837773) (xy -5.957679 -10.907263) (xy -6.027103 -10.97997)
(xy -6.077118 -11.059398) (xy -6.110576 -11.149051) (xy -6.127721 -11.233096) (xy -6.134545 -11.368489)
(xy -6.118213 -11.514032) (xy -6.079896 -11.665567) (xy -6.020764 -11.818938) (xy -5.94199 -11.969987)
(xy -5.902329 -12.03325) (xy -5.862777 -12.08945) (xy -5.818372 -12.144699) (xy -5.766525 -12.201408)
(xy -5.704649 -12.261985) (xy -5.630158 -12.32884) (xy -5.540464 -12.404382) (xy -5.432979 -12.491022)
(xy -5.305116 -12.591169) (xy -5.293906 -12.599854) (xy -5.241819 -12.640367) (xy -5.193309 -12.678598)
(xy -5.14547 -12.716978) (xy -5.095396 -12.757936) (xy -5.040181 -12.803901) (xy -4.976921 -12.857301)
(xy -4.90271 -12.920568) (xy -4.814642 -12.996129) (xy -4.709811 -13.086415) (xy -4.642556 -13.144437)
(xy -4.597854 -13.182271) (xy -4.536188 -13.233369) (xy -4.460334 -13.295511) (xy -4.398969 -13.345416)
(xy -3.723892 -13.345416) (xy -3.710283 -13.283002) (xy -3.672255 -13.219638) (xy -3.615849 -13.172778)
(xy -3.546993 -13.144591) (xy -3.471618 -13.137244) (xy -3.395655 -13.152906) (xy -3.376577 -13.160997)
(xy -3.326971 -13.196109) (xy -3.27721 -13.255129) (xy -3.226071 -13.339668) (xy -3.196497 -13.3985)
(xy -3.161594 -13.46642) (xy -3.129247 -13.51265) (xy -3.093135 -13.542287) (xy -3.046932 -13.56043)
(xy -2.984316 -13.572175) (xy -2.980376 -13.572722) (xy -2.883771 -13.592846) (xy -2.810504 -13.623151)
(xy -2.762105 -13.662935) (xy -2.751874 -13.677981) (xy -2.740191 -13.70989) (xy -2.742415 -13.715399)
(xy -1.309236 -13.715399) (xy -1.289146 -13.6764) (xy -1.259417 -13.642488) (xy -1.19444 -13.591385)
(xy -1.10709 -13.539812) (xy -1.003057 -13.490286) (xy -0.888032 -13.445322) (xy -0.767705 -13.407437)
(xy -0.677334 -13.385166) (xy -0.59013 -13.369859) (xy -0.521037 -13.36629) (xy -0.462553 -13.374349)
(xy -0.437934 -13.381658) (xy -0.387673 -13.412438) (xy -0.34837 -13.461535) (xy -0.326749 -13.519364)
(xy -0.324556 -13.543304) (xy -0.337912 -13.611817) (xy -0.374958 -13.675709) (xy -0.431165 -13.73012)
(xy -0.502002 -13.770191) (xy -0.550334 -13.785487) (xy -0.604506 -13.793414) (xy -0.67989 -13.798366)
(xy -0.770349 -13.800521) (xy -0.869742 -13.800057) (xy -0.971931 -13.79715) (xy -1.070777 -13.79198)
(xy -1.160143 -13.784725) (xy -1.233887 -13.775561) (xy -1.285873 -13.764667) (xy -1.287639 -13.764127)
(xy -1.309082 -13.746141) (xy -1.309236 -13.715399) (xy -2.742415 -13.715399) (xy -2.749368 -13.732612)
(xy -2.782061 -13.74912) (xy -2.824615 -13.759406) (xy -2.878815 -13.76607) (xy -2.952645 -13.770018)
(xy -3.037648 -13.771288) (xy -3.125367 -13.769912) (xy -3.207344 -13.765927) (xy -3.275123 -13.759367)
(xy -3.287889 -13.757486) (xy -3.391873 -13.731201) (xy -3.486441 -13.689017) (xy -3.568905 -13.633957)
(xy -3.636573 -13.569045) (xy -3.686754 -13.497302) (xy -3.716757 -13.421751) (xy -3.723892 -13.345416)
(xy -4.398969 -13.345416) (xy -4.373069 -13.366479) (xy -4.277168 -13.444053) (xy -4.175406 -13.526013)
(xy -4.070559 -13.61014) (xy -3.965404 -13.694216) (xy -3.862715 -13.77602) (xy -3.765268 -13.853334)
(xy -3.67584 -13.923938) (xy -3.597206 -13.985613) (xy -3.532141 -14.03614) (xy -3.483422 -14.073299)
(xy -3.453828 -14.094868) (xy -3.355683 -14.153473) (xy -3.239894 -14.209109) (xy -3.116988 -14.25714)
(xy -3.012723 -14.289067) (xy -2.95668 -14.302784) (xy -2.904284 -14.313045) (xy -2.849196 -14.32053)
(xy -2.785078 -14.325918) (xy -2.705594 -14.32989) (xy -2.604403 -14.333124) (xy -2.601169 -14.333212)
(xy -2.487036 -14.335076) (xy -2.383437 -14.334425)) (layer F.Cu) (width 0.01))
)
(module LOGO (layer F.Cu) (tedit 0) (tstamp 0)
(at 0 0)
(fp_text reference G*** (at 0 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_text value LOGO (at 0.75 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
)
(module LOGO (layer F.Cu) (tedit 0) (tstamp 0)
(at 0 0)
(fp_text reference G*** (at 0 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_text value LOGO (at 0.75 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
)
(module LOGO (layer F.Cu) (tedit 0) (tstamp 0)
(at 53.594 51.562)
(fp_text reference G*** (at 0 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_text value LOGO (at 0.75 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_poly (pts (xy -0.034205 -26.220504) (xy 0.450155 -26.194544) (xy 0.931929 -26.149464) (xy 1.408397 -26.08512)
(xy 1.672166 -26.04057) (xy 2.176839 -25.936396) (xy 2.668706 -25.809532) (xy 3.146858 -25.660394)
(xy 3.610389 -25.489396) (xy 4.058389 -25.296954) (xy 4.489951 -25.083483) (xy 4.904166 -24.849399)
(xy 5.300127 -24.595117) (xy 5.676926 -24.321052) (xy 5.990166 -24.065366) (xy 6.339646 -23.747039)
(xy 6.664295 -23.415386) (xy 6.964314 -23.070118) (xy 7.239906 -22.710944) (xy 7.491271 -22.337573)
(xy 7.718611 -21.949714) (xy 7.922126 -21.547078) (xy 8.102019 -21.129374) (xy 8.194059 -20.884444)
(xy 8.260963 -20.686103) (xy 8.322755 -20.479838) (xy 8.380066 -20.26274) (xy 8.433531 -20.031897)
(xy 8.48378 -19.784399) (xy 8.531447 -19.517335) (xy 8.577163 -19.227794) (xy 8.620277 -18.922457)
(xy 8.641789 -18.758699) (xy 8.66218 -18.596028) (xy 8.681637 -18.432307) (xy 8.700349 -18.2654)
(xy 8.718505 -18.093173) (xy 8.736295 -17.913488) (xy 8.753907 -17.72421) (xy 8.77153 -17.523202)
(xy 8.789352 -17.30833) (xy 8.807563 -17.077457) (xy 8.826352 -16.828447) (xy 8.845907 -16.559165)
(xy 8.866418 -16.267474) (xy 8.888073 -15.951238) (xy 8.904106 -15.712722) (xy 8.921702 -15.451381)
(xy 8.937896 -15.215541) (xy 8.952896 -15.002527) (xy 8.966906 -14.809663) (xy 8.980131 -14.634274)
(xy 8.992775 -14.473684) (xy 9.005044 -14.325219) (xy 9.017142 -14.186202) (xy 9.029276 -14.053958)
(xy 9.03869 -13.955889) (xy 9.087406 -13.498492) (xy 9.1414 -13.068604) (xy 9.200717 -12.666002)
(xy 9.265403 -12.290464) (xy 9.335504 -11.941764) (xy 9.411064 -11.619681) (xy 9.49213 -11.323991)
(xy 9.578748 -11.05447) (xy 9.670962 -10.810894) (xy 9.689439 -10.766778) (xy 9.713393 -10.713808)
(xy 9.748261 -10.641162) (xy 9.791481 -10.553956) (xy 9.840487 -10.457308) (xy 9.892715 -10.356337)
(xy 9.940442 -10.265833) (xy 9.985672 -10.180766) (xy 10.041791 -10.074975) (xy 10.106551 -9.952713)
(xy 10.177702 -9.818232) (xy 10.252995 -9.675787) (xy 10.33018 -9.529629) (xy 10.407009 -9.384012)
(xy 10.477076 -9.25108) (xy 10.639079 -8.944601) (xy 10.790105 -8.661188) (xy 10.931494 -8.398511)
(xy 11.064585 -8.154243) (xy 11.190718 -7.926055) (xy 11.311232 -7.71162) (xy 11.427466 -7.50861)
(xy 11.540761 -7.314695) (xy 11.652455 -7.127549) (xy 11.763889 -6.944843) (xy 11.876401 -6.764249)
(xy 11.991331 -6.583439) (xy 12.110018 -6.400085) (xy 12.170571 -6.307667) (xy 12.387305 -5.984977)
(xy 12.621229 -5.649496) (xy 12.868198 -5.306908) (xy 13.124069 -4.962897) (xy 13.384696 -4.62315)
(xy 13.569621 -4.388555) (xy 13.762173 -4.145436) (xy 13.938733 -3.918803) (xy 14.102474 -3.704428)
(xy 14.256572 -3.498083) (xy 14.404199 -3.295537) (xy 14.548532 -3.092563) (xy 14.628894 -2.977434)
(xy 15.044413 -2.354795) (xy 15.432933 -1.723959) (xy 15.79445 -1.084932) (xy 16.128964 -0.437717)
(xy 16.436471 0.217681) (xy 16.71697 0.881257) (xy 16.970459 1.553008) (xy 17.196936 2.232928)
(xy 17.396398 2.921014) (xy 17.482151 3.252611) (xy 17.512539 3.375674) (xy 17.540202 3.489781)
(xy 17.565914 3.598554) (xy 17.590446 3.70561) (xy 17.614571 3.814568) (xy 17.639061 3.929048)
(xy 17.664689 4.052668) (xy 17.692226 4.189048) (xy 17.722446 4.341805) (xy 17.75612 4.51456)
(xy 17.794021 4.710931) (xy 17.799866 4.741333) (xy 17.859905 5.055377) (xy 17.914321 5.343775)
(xy 17.963388 5.60848) (xy 18.007379 5.851444) (xy 18.046568 6.074622) (xy 18.081228 6.279967)
(xy 18.111632 6.46943) (xy 18.138055 6.644967) (xy 18.160769 6.80853) (xy 18.180048 6.962072)
(xy 18.196165 7.107546) (xy 18.209394 7.246905) (xy 18.220008 7.382103) (xy 18.22828 7.515094)
(xy 18.234484 7.647829) (xy 18.238894 7.782262) (xy 18.241363 7.895167) (xy 18.24341 8.02402)
(xy 18.244485 8.128566) (xy 18.244477 8.212704) (xy 18.243274 8.280337) (xy 18.240763 8.335363)
(xy 18.236832 8.381686) (xy 18.23137 8.423205) (xy 18.225163 8.459099) (xy 18.203505 8.559724)
(xy 18.176068 8.659908) (xy 18.141447 8.762912) (xy 18.098238 8.871995) (xy 18.045036 8.990417)
(xy 17.980436 9.121438) (xy 17.903034 9.268318) (xy 17.811426 9.434318) (xy 17.784171 9.482667)
(xy 17.710523 9.613561) (xy 17.650473 9.722078) (xy 17.603124 9.810055) (xy 17.56758 9.87933)
(xy 17.542943 9.931741) (xy 17.528317 9.969125) (xy 17.522806 9.993321) (xy 17.525512 10.006166)
(xy 17.527799 10.008085) (xy 17.742741 10.143642) (xy 17.932184 10.288224) (xy 18.09791 10.443636)
(xy 18.241704 10.611682) (xy 18.365347 10.794167) (xy 18.441492 10.932713) (xy 18.4775 11.007915)
(xy 18.508275 11.081225) (xy 18.534284 11.155687) (xy 18.555997 11.234346) (xy 18.573881 11.320246)
(xy 18.588404 11.416432) (xy 18.600036 11.525948) (xy 18.609244 11.651837) (xy 18.616496 11.797145)
(xy 18.622261 11.964915) (xy 18.62652 12.135556) (xy 18.630738 12.325193) (xy 18.634576 12.488824)
(xy 18.638138 12.628657) (xy 18.641531 12.746905) (xy 18.64486 12.845776) (xy 18.64823 12.927483)
(xy 18.651748 12.994235) (xy 18.655519 13.048243) (xy 18.659649 13.091717) (xy 18.664243 13.126869)
(xy 18.669408 13.155909) (xy 18.675249 13.181047) (xy 18.676787 13.186833) (xy 18.725601 13.325527)
(xy 18.79936 13.475147) (xy 18.897404 13.634753) (xy 19.019072 13.803402) (xy 19.163703 13.980153)
(xy 19.330637 14.164066) (xy 19.444488 14.280717) (xy 19.534696 14.369524) (xy 19.621093 14.451523)
(xy 19.70626 14.528636) (xy 19.792781 14.602785) (xy 19.883238 14.675891) (xy 19.980215 14.749877)
(xy 20.086295 14.826664) (xy 20.20406 14.908174) (xy 20.336094 14.996329) (xy 20.484979 15.093051)
(xy 20.653299 15.200261) (xy 20.828 15.310098) (xy 20.999644 15.41845) (xy 21.149573 15.515302)
(xy 21.280746 15.602855) (xy 21.396122 15.683308) (xy 21.498659 15.75886) (xy 21.591317 15.831713)
(xy 21.677055 15.904066) (xy 21.758831 15.978118) (xy 21.839605 16.05607) (xy 21.863477 16.079933)
(xy 22.051598 16.287839) (xy 22.21456 16.50722) (xy 22.351955 16.737342) (xy 22.463378 16.977472)
(xy 22.54842 17.226875) (xy 22.587517 17.384889) (xy 22.600633 17.46944) (xy 22.609433 17.574614)
(xy 22.613974 17.69315) (xy 22.614309 17.817789) (xy 22.610493 17.941271) (xy 22.602582 18.056336)
(xy 22.590631 18.155725) (xy 22.5813 18.205912) (xy 22.514095 18.445312) (xy 22.421296 18.674158)
(xy 22.302689 18.892764) (xy 22.158062 19.101444) (xy 21.9872 19.300512) (xy 21.789889 19.490284)
(xy 21.604111 19.642311) (xy 21.544111 19.687007) (xy 21.463848 19.745143) (xy 21.366541 19.814498)
(xy 21.255405 19.892853) (xy 21.133657 19.977986) (xy 21.004516 20.067678) (xy 20.871196 20.159708)
(xy 20.736917 20.251858) (xy 20.604893 20.341906) (xy 20.478344 20.427632) (xy 20.360484 20.506817)
(xy 20.254532 20.57724) (xy 20.163705 20.63668) (xy 20.156605 20.641272) (xy 19.942264 20.777892)
(xy 19.741207 20.901896) (xy 19.548767 21.015723) (xy 19.36028 21.121817) (xy 19.17108 21.22262)
(xy 18.976503 21.320574) (xy 18.771884 21.41812) (xy 18.552557 21.517702) (xy 18.313859 21.621761)
(xy 18.153944 21.689658) (xy 17.912019 21.797394) (xy 17.654105 21.923164) (xy 17.383834 22.064718)
(xy 17.104841 22.219808) (xy 16.820757 22.386182) (xy 16.535216 22.561591) (xy 16.251851 22.743785)
(xy 15.974295 22.930515) (xy 15.706182 23.11953) (xy 15.451143 23.30858) (xy 15.212813 23.495415)
(xy 15.134166 23.559745) (xy 15.078048 23.608281) (xy 15.006605 23.673326) (xy 14.923961 23.75094)
(xy 14.834242 23.837182) (xy 14.741573 23.928111) (xy 14.650077 24.019787) (xy 14.612204 24.058374)
(xy 14.444646 24.229647) (xy 14.29499 24.381779) (xy 14.161795 24.516178) (xy 14.04362 24.634255)
(xy 13.939025 24.737421) (xy 13.846569 24.827084) (xy 13.764813 24.904656) (xy 13.692314 24.971545)
(xy 13.627634 25.029163) (xy 13.571275 25.077298) (xy 13.31028 25.282772) (xy 13.046701 25.465191)
(xy 12.778276 25.625372) (xy 12.502742 25.764129) (xy 12.217838 25.882278) (xy 11.921302 25.980634)
(xy 11.610873 26.060013) (xy 11.284287 26.121228) (xy 10.939283 26.165096) (xy 10.5736 26.192432)
(xy 10.463388 26.197383) (xy 10.357777 26.199653) (xy 10.230078 26.199457) (xy 10.086296 26.197013)
(xy 9.932437 26.192541) (xy 9.774507 26.186259) (xy 9.618512 26.178388) (xy 9.470458 26.169145)
(xy 9.336349 26.158751) (xy 9.290935 26.154606) (xy 8.914906 26.108699) (xy 8.56013 26.044805)
(xy 8.225736 25.962456) (xy 7.910849 25.861185) (xy 7.614597 25.740524) (xy 7.336108 25.600004)
(xy 7.074509 25.439159) (xy 6.828928 25.25752) (xy 6.59849 25.054621) (xy 6.382325 24.829992)
(xy 6.179559 24.583167) (xy 6.064993 24.425697) (xy 6.003572 24.333476) (xy 5.938008 24.228206)
(xy 5.872647 24.117405) (xy 5.811834 24.008588) (xy 5.759913 23.909273) (xy 5.728627 23.843781)
(xy 5.680442 23.736703) (xy 5.581304 23.729271) (xy 5.534407 23.726558) (xy 5.461664 23.723435)
(xy 5.365444 23.719961) (xy 5.248119 23.716197) (xy 5.112061 23.712204) (xy 4.95964 23.708043)
(xy 4.793228 23.703775) (xy 4.615196 23.699458) (xy 4.427914 23.695156) (xy 4.233755 23.690927)
(xy 4.035089 23.686832) (xy 3.834287 23.682932) (xy 3.633721 23.679288) (xy 3.605388 23.678795)
(xy 3.23185 23.672812) (xy 2.854839 23.667712) (xy 2.475964 23.663485) (xy 2.096836 23.660121)
(xy 1.719063 23.657609) (xy 1.344256 23.655937) (xy 0.974025 23.655096) (xy 0.60998 23.655074)
(xy 0.25373 23.655861) (xy -0.093116 23.657446) (xy -0.428946 23.659818) (xy -0.752151 23.662967)
(xy -1.061122 23.666882) (xy -1.354248 23.671552) (xy -1.62992 23.676966) (xy -1.886528 23.683114)
(xy -2.122461 23.689986) (xy -2.336112 23.697569) (xy -2.525868 23.705854) (xy -2.690122 23.71483)
(xy -2.786945 23.721361) (xy -3.077026 23.747072) (xy -3.342375 23.779748) (xy -3.585785 23.820122)
(xy -3.810048 23.868926) (xy -4.017957 23.926891) (xy -4.212305 23.994749) (xy -4.395884 24.073232)
(xy -4.571489 24.163072) (xy -4.653875 24.210509) (xy -4.786556 24.29448) (xy -4.936021 24.397836)
(xy -5.100192 24.518967) (xy -5.276992 24.656265) (xy -5.464343 24.808121) (xy -5.660167 24.972927)
(xy -5.834945 25.124828) (xy -5.970199 25.243223) (xy -6.088771 25.345014) (xy -6.194341 25.433142)
(xy -6.290587 25.510545) (xy -6.381188 25.580162) (xy -6.469825 25.644933) (xy -6.560176 25.707798)
(xy -6.589213 25.727429) (xy -6.81273 25.867753) (xy -7.031462 25.984157) (xy -7.251047 26.078953)
(xy -7.477118 26.154449) (xy -7.715311 26.212955) (xy -7.824612 26.233771) (xy -7.910353 26.245557)
(xy -8.017626 26.255289) (xy -8.139804 26.262782) (xy -8.270258 26.26785) (xy -8.40236 26.270309)
(xy -8.529482 26.269975) (xy -8.644998 26.266662) (xy -8.742277 26.260185) (xy -8.748889 26.259543)
(xy -8.887428 26.244121) (xy -9.025506 26.225309) (xy -9.164749 26.202594) (xy -9.306783 26.17546)
(xy -9.453233 26.143394) (xy -9.605724 26.10588) (xy -9.765882 26.062404) (xy -9.935333 26.012451)
(xy -10.115702 25.955507) (xy -10.308614 25.891057) (xy -10.515695 25.818586) (xy -10.738571 25.73758)
(xy -10.978867 25.647524) (xy -11.238208 25.547903) (xy -11.51822 25.438202) (xy -11.820528 25.317908)
(xy -12.146758 25.186506) (xy -12.177889 25.173897) (xy -12.376743 25.093414) (xy -12.552364 25.022546)
(xy -12.707621 24.960176) (xy -12.845382 24.905184) (xy -12.968516 24.856454) (xy -13.079891 24.812868)
(xy -13.182377 24.773306) (xy -13.278841 24.736651) (xy -13.372153 24.701786) (xy -13.465181 24.667592)
(xy -13.560794 24.63295) (xy -13.624278 24.610168) (xy -13.912671 24.509405) (xy -14.197482 24.414965)
(xy -14.482251 24.325904) (xy -14.770517 24.241283) (xy -15.065821 24.160159) (xy -15.371703 24.081592)
(xy -15.691701 24.004639) (xy -16.029355 23.92836) (xy -16.388205 23.851813) (xy -16.756945 23.777)
(xy -17.040862 23.720471) (xy -17.298885 23.668674) (xy -17.53287 23.621203) (xy -17.744672 23.577649)
(xy -17.936149 23.537606) (xy -18.109155 23.500666) (xy -18.265547 23.466422) (xy -18.40718 23.434467)
(xy -18.535912 23.404395) (xy -18.653598 23.375796) (xy -18.762093 23.348265) (xy -18.863255 23.321394)
(xy -18.958938 23.294777) (xy -18.972389 23.290936) (xy -19.045179 23.271034) (xy -19.139688 23.246638)
(xy -19.250045 23.219182) (xy -19.370376 23.190101) (xy -19.49481 23.160831) (xy -19.617473 23.132806)
(xy -19.642667 23.127167) (xy -19.808933 23.08988) (xy -19.951404 23.057374) (xy -20.073914 23.028661)
(xy -20.180294 23.002752) (xy -20.274376 22.978658) (xy -20.359993 22.95539) (xy -20.440977 22.931959)
(xy -20.521159 22.907376) (xy -20.604373 22.880653) (xy -20.627701 22.872995) (xy -20.909793 22.768622)
(xy -21.170638 22.64865) (xy -21.409715 22.513552) (xy -21.626504 22.363803) (xy -21.820486 22.199877)
(xy -21.991142 22.022248) (xy -22.137952 21.831391) (xy -22.260395 21.62778) (xy -22.357953 21.41189)
(xy -22.414587 21.241817) (xy -22.449182 21.106368) (xy -22.472829 20.977632) (xy -22.486881 20.845342)
(xy -22.49269 20.69923) (xy -22.493028 20.646235) (xy -22.492823 20.630445) (xy -21.426485 20.630445)
(xy -21.425272 20.737409) (xy -21.419137 20.824766) (xy -21.406663 20.900912) (xy -21.386433 20.974241)
(xy -21.357031 21.053151) (xy -21.353879 21.060833) (xy -21.280637 21.202071) (xy -21.180993 21.336116)
(xy -21.056061 21.462033) (xy -20.906958 21.578885) (xy -20.734802 21.685739) (xy -20.540708 21.781657)
(xy -20.447 21.820807) (xy -20.371722 21.849668) (xy -20.29535 21.876778) (xy -20.214603 21.90303)
(xy -20.126201 21.929322) (xy -20.026865 21.956549) (xy -19.913313 21.985605) (xy -19.782267 22.017387)
(xy -19.630445 22.05279) (xy -19.454568 22.092709) (xy -19.434573 22.097199) (xy -19.307994 22.125932)
(xy -19.181079 22.15536) (xy -19.058674 22.184314) (xy -18.945624 22.211626) (xy -18.846776 22.23613)
(xy -18.766976 22.256657) (xy -18.722107 22.268863) (xy -18.644751 22.290662) (xy -18.572703 22.310668)
(xy -18.503777 22.329364) (xy -18.435785 22.347237) (xy -18.36654 22.364771) (xy -18.293855 22.382451)
(xy -18.215543 22.400761) (xy -18.129417 22.420187) (xy -18.033289 22.441213) (xy -17.924972 22.464324)
(xy -17.80228 22.490006) (xy -17.663024 22.518742) (xy -17.505018 22.551018) (xy -17.326075 22.587319)
(xy -17.124007 22.628129) (xy -16.896628 22.673933) (xy -16.876889 22.677906) (xy -16.711023 22.711398)
(xy -16.545916 22.744942) (xy -16.384894 22.777849) (xy -16.231282 22.80943) (xy -16.088408 22.838996)
(xy -15.959595 22.865861) (xy -15.848169 22.889334) (xy -15.757456 22.908728) (xy -15.690782 22.923355)
(xy -15.685867 22.924461) (xy -15.230931 23.031537) (xy -14.791726 23.144267) (xy -14.362776 23.264381)
(xy -13.9386 23.39361) (xy -13.513721 23.533684) (xy -13.082659 23.686334) (xy -12.639937 23.853291)
(xy -12.227278 24.017099) (xy -11.909962 24.145543) (xy -11.61715 24.263495) (xy -11.34736 24.371478)
(xy -11.099112 24.470013) (xy -10.870925 24.559623) (xy -10.661319 24.64083) (xy -10.468811 24.714156)
(xy -10.291922 24.780124) (xy -10.129171 24.839255) (xy -9.979076 24.892072) (xy -9.840158 24.939097)
(xy -9.710935 24.980853) (xy -9.589926 25.017861) (xy -9.47565 25.050643) (xy -9.366627 25.079723)
(xy -9.261376 25.105621) (xy -9.158416 25.128861) (xy -9.056266 25.149964) (xy -8.966053 25.167148)
(xy -8.76603 25.199554) (xy -8.584638 25.219338) (xy -8.415462 25.226883) (xy -8.252087 25.222574)
(xy -8.162201 25.215273) (xy -7.936941 25.179496) (xy -7.714943 25.118367) (xy -7.502051 25.033798)
(xy -7.327787 24.942052) (xy -7.26938 24.906525) (xy -7.212206 24.869949) (xy -7.154028 24.830574)
(xy -7.092606 24.786652) (xy -7.025703 24.736435) (xy -6.95108 24.678174) (xy -6.8665 24.610121)
(xy -6.769724 24.530527) (xy -6.658514 24.437643) (xy -6.530632 24.329722) (xy -6.383839 24.205015)
(xy -6.378223 24.200232) (xy -6.170243 24.026598) (xy -5.968606 23.865171) (xy -5.776074 23.718044)
(xy -5.595412 23.587308) (xy -5.429386 23.475057) (xy -5.371252 23.438024) (xy -5.136383 23.30035)
(xy -4.895426 23.177716) (xy -4.645912 23.069411) (xy -4.385369 22.974725) (xy -4.111326 22.892946)
(xy -3.821313 22.823363) (xy -3.512857 22.765265) (xy -3.183489 22.71794) (xy -2.830737 22.680679)
(xy -2.702278 22.66996) (xy -2.611042 22.663113) (xy -2.51934 22.65686) (xy -2.425494 22.651168)
(xy -2.327825 22.646003) (xy -2.224655 22.641331) (xy -2.114306 22.637117) (xy -1.995099 22.633328)
(xy -1.865356 22.62993) (xy -1.723399 22.626888) (xy -1.567548 22.624168) (xy -1.396125 22.621737)
(xy -1.207453 22.61956) (xy -0.999852 22.617604) (xy -0.771644 22.615834) (xy -0.521151 22.614216)
(xy -0.246695 22.612716) (xy 0.053404 22.611301) (xy 0.225777 22.610563) (xy 0.838371 22.60874)
(xy 1.423727 22.608477) (xy 1.982788 22.609785) (xy 2.516497 22.612678) (xy 3.025797 22.617165)
(xy 3.511629 22.62326) (xy 3.974937 22.630972) (xy 4.416662 22.640315) (xy 4.837748 22.651299)
(xy 5.239136 22.663937) (xy 5.573888 22.676318) (xy 5.725597 22.683806) (xy 5.852829 22.693872)
(xy 5.959292 22.707595) (xy 6.048692 22.726052) (xy 6.124737 22.750323) (xy 6.191131 22.781487)
(xy 6.251583 22.820621) (xy 6.309798 22.868806) (xy 6.342715 22.900058) (xy 6.396409 22.957104)
(xy 6.448677 23.021829) (xy 6.502035 23.098023) (xy 6.558997 23.189475) (xy 6.622079 23.299974)
(xy 6.682818 23.412516) (xy 6.729689 23.499127) (xy 6.780185 23.589189) (xy 6.829768 23.674819)
(xy 6.873902 23.748133) (xy 6.896754 23.784278) (xy 7.044169 23.992453) (xy 7.203277 24.181021)
(xy 7.375343 24.35061) (xy 7.561627 24.501848) (xy 7.763394 24.635365) (xy 7.981905 24.751788)
(xy 8.218424 24.851747) (xy 8.474212 24.93587) (xy 8.750533 25.004786) (xy 9.048648 25.059123)
(xy 9.369822 25.099509) (xy 9.574388 25.117326) (xy 9.942012 25.138062) (xy 10.286985 25.143954)
(xy 10.610839 25.134708) (xy 10.915107 25.110032) (xy 11.20132 25.069631) (xy 11.47101 25.013213)
(xy 11.725709 24.940484) (xy 11.966949 24.85115) (xy 12.196261 24.744919) (xy 12.415178 24.621496)
(xy 12.521981 24.552733) (xy 12.590405 24.506013) (xy 12.655484 24.459663) (xy 12.719247 24.411854)
(xy 12.78372 24.36076) (xy 12.850932 24.304551) (xy 12.922909 24.241402) (xy 13.001679 24.169483)
(xy 13.089269 24.086966) (xy 13.187707 23.992025) (xy 13.29902 23.882832) (xy 13.425236 23.757558)
(xy 13.568382 23.614376) (xy 13.617222 23.565354) (xy 13.763261 23.41891) (xy 13.891821 23.290598)
(xy 14.005126 23.178346) (xy 14.105401 23.080083) (xy 14.194869 22.993736) (xy 14.275755 22.917232)
(xy 14.350285 22.848499) (xy 14.420681 22.785465) (xy 14.48917 22.726057) (xy 14.557975 22.668204)
(xy 14.62932 22.609833) (xy 14.70543 22.548871) (xy 14.724944 22.533394) (xy 15.024232 22.304686)
(xy 15.345059 22.074873) (xy 15.681605 21.847612) (xy 16.02805 21.626557) (xy 16.378575 21.415366)
(xy 16.727361 21.217694) (xy 17.068588 21.037196) (xy 17.250833 20.946616) (xy 17.328622 20.909724)
(xy 17.42625 20.864717) (xy 17.537521 20.814376) (xy 17.656238 20.76148) (xy 17.776208 20.708812)
(xy 17.891233 20.659152) (xy 17.892888 20.658445) (xy 18.174338 20.534795) (xy 18.4332 20.413713)
(xy 18.674614 20.292516) (xy 18.903723 20.168522) (xy 19.125668 20.039048) (xy 19.345589 19.901411)
(xy 19.353388 19.896364) (xy 19.475419 19.816716) (xy 19.6091 19.728408) (xy 19.751369 19.633537)
(xy 19.899159 19.534204) (xy 20.049405 19.432507) (xy 20.199044 19.330544) (xy 20.34501 19.230415)
(xy 20.484237 19.134218) (xy 20.613663 19.044053) (xy 20.73022 18.962017) (xy 20.830846 18.890211)
(xy 20.912473 18.830733) (xy 20.945284 18.806213) (xy 21.111857 18.668622) (xy 21.251532 18.527679)
(xy 21.365585 18.381753) (xy 21.455286 18.229217) (xy 21.52191 18.06844) (xy 21.522093 18.067894)
(xy 21.536347 18.020913) (xy 21.545784 17.976017) (xy 21.551292 17.925416) (xy 21.553759 17.861316)
(xy 21.554119 17.787056) (xy 21.553322 17.708311) (xy 21.550691 17.649241) (xy 21.544971 17.601302)
(xy 21.534904 17.555952) (xy 21.519234 17.504649) (xy 21.507444 17.46985) (xy 21.457023 17.341829)
(xy 21.395428 17.221257) (xy 21.318884 17.101933) (xy 21.223619 16.977653) (xy 21.168178 16.912167)
(xy 21.093263 16.830364) (xy 21.011966 16.750594) (xy 20.9215 16.670691) (xy 20.819077 16.588493)
(xy 20.701909 16.501835) (xy 20.56721 16.408552) (xy 20.412191 16.306481) (xy 20.277666 16.220813)
(xy 20.110123 16.114623) (xy 19.951184 16.012436) (xy 19.803689 15.916132) (xy 19.670477 15.827589)
(xy 19.554387 15.748686) (xy 19.458258 15.6813) (xy 19.416888 15.651278) (xy 19.246689 15.520621)
(xy 19.074483 15.378735) (xy 18.90582 15.23063) (xy 18.746251 15.081311) (xy 18.601327 14.935788)
(xy 18.492293 14.817099) (xy 18.310004 14.601006) (xy 18.151699 14.394827) (xy 18.016065 14.195962)
(xy 17.90179 14.001815) (xy 17.80756 13.809785) (xy 17.732062 13.617276) (xy 17.673984 13.421688)
(xy 17.632011 13.220424) (xy 17.611416 13.073945) (xy 17.605262 13.007921) (xy 17.599028 12.918458)
(xy 17.592903 12.810335) (xy 17.587079 12.688333) (xy 17.581745 12.557233) (xy 17.577093 12.421816)
(xy 17.573312 12.286862) (xy 17.570594 12.157151) (xy 17.569128 12.037465) (xy 17.568975 12.008556)
(xy 17.566322 11.84705) (xy 17.55915 11.709081) (xy 17.546749 11.59022) (xy 17.528405 11.486038)
(xy 17.503406 11.392107) (xy 17.47104 11.303999) (xy 17.447063 11.250565) (xy 17.374915 11.129938)
(xy 17.282631 11.028374) (xy 17.169629 10.945405) (xy 17.035322 10.880564) (xy 16.947213 10.851015)
(xy 16.870592 10.834687) (xy 16.77244 10.822627) (xy 16.659128 10.814858) (xy 16.537029 10.811407)
(xy 16.412512 10.812295) (xy 16.291948 10.817549) (xy 16.18171 10.827192) (xy 16.088167 10.841249)
(xy 16.058838 10.847628) (xy 16.048662 10.846613) (xy 16.052496 10.834049) (xy 16.071905 10.807768)
(xy 16.108457 10.765598) (xy 16.153675 10.716177) (xy 16.376987 10.457832) (xy 16.572571 10.194354)
(xy 16.740463 9.92568) (xy 16.880698 9.65175) (xy 16.99331 9.372502) (xy 17.074846 9.101813)
(xy 17.11581 8.921534) (xy 17.14842 8.736357) (xy 17.172606 8.544421) (xy 17.188296 8.343867)
(xy 17.19542 8.132837) (xy 17.193906 7.909468) (xy 17.183684 7.671903) (xy 17.164683 7.418282)
(xy 17.136831 7.146744) (xy 17.100059 6.85543) (xy 17.054294 6.54248) (xy 16.999466 6.206035)
(xy 16.954632 5.949834) (xy 16.889295 5.588927) (xy 16.827372 5.253823) (xy 16.768361 4.942376)
(xy 16.711762 4.652441) (xy 16.657072 4.38187) (xy 16.603792 4.12852) (xy 16.551421 3.890242)
(xy 16.499457 3.664892) (xy 16.4474 3.450323) (xy 16.394749 3.244389) (xy 16.341003 3.044944)
(xy 16.285662 2.849842) (xy 16.228223 2.656936) (xy 16.168187 2.464082) (xy 16.105052 2.269133)
(xy 16.073141 2.173111) (xy 15.882882 1.633553) (xy 15.676722 1.104391) (xy 15.453611 0.58375)
(xy 15.212495 0.06975) (xy 14.952323 -0.439483) (xy 14.672044 -0.945827) (xy 14.370604 -1.45116)
(xy 14.046954 -1.957357) (xy 13.700039 -2.466297) (xy 13.32881 -2.979855) (xy 12.932212 -3.499909)
(xy 12.799309 -3.668589) (xy 12.459095 -4.103511) (xy 12.139001 -4.526333) (xy 11.836164 -4.94133)
(xy 11.547719 -5.352778) (xy 11.270802 -5.764954) (xy 11.002549 -6.182133) (xy 10.740095 -6.608591)
(xy 10.480577 -7.048604) (xy 10.221129 -7.506449) (xy 10.185535 -7.570611) (xy 10.121323 -7.686909)
(xy 10.059633 -7.799233) (xy 9.998898 -7.910511) (xy 9.937551 -8.023671) (xy 9.874024 -8.141643)
(xy 9.806749 -8.267353) (xy 9.734158 -8.403731) (xy 9.654685 -8.553706) (xy 9.566762 -8.720204)
(xy 9.468821 -8.906155) (xy 9.369737 -9.094611) (xy 9.306333 -9.215108) (xy 9.236827 -9.346899)
(xy 9.165053 -9.482734) (xy 9.094847 -9.61536) (xy 9.030044 -9.737528) (xy 8.974477 -9.841986)
(xy 8.974203 -9.8425) (xy 8.901974 -9.979036) (xy 8.841357 -10.096296) (xy 8.789934 -10.199489)
(xy 8.745288 -10.293827) (xy 8.705002 -10.384522) (xy 8.666656 -10.476782) (xy 8.627834 -10.575821)
(xy 8.600998 -10.646833) (xy 8.514944 -10.893613) (xy 8.434558 -11.158637) (xy 8.359625 -11.443041)
(xy 8.289929 -11.74796) (xy 8.225254 -12.074527) (xy 8.165385 -12.423878) (xy 8.110105 -12.797148)
(xy 8.059199 -13.195471) (xy 8.012451 -13.619982) (xy 7.987852 -13.871222) (xy 7.974144 -14.023342)
(xy 7.959038 -14.202178) (xy 7.942646 -14.406264) (xy 7.925078 -14.634134) (xy 7.906446 -14.884323)
(xy 7.88686 -15.155364) (xy 7.866433 -15.445792) (xy 7.84569 -15.748) (xy 7.818682 -16.139188)
(xy 7.792504 -16.503845) (xy 7.766985 -16.843646) (xy 7.741951 -17.160265) (xy 7.717229 -17.455376)
(xy 7.692648 -17.730653) (xy 7.668035 -17.98777) (xy 7.643217 -18.228401) (xy 7.618021 -18.454221)
(xy 7.592275 -18.666902) (xy 7.565807 -18.86812) (xy 7.538443 -19.059548) (xy 7.510011 -19.242861)
(xy 7.480339 -19.419732) (xy 7.449542 -19.590291) (xy 7.356828 -20.013062) (xy 7.239221 -20.423079)
(xy 7.09694 -20.819913) (xy 6.930204 -21.203141) (xy 6.739231 -21.572335) (xy 6.524241 -21.92707)
(xy 6.285454 -22.266919) (xy 6.023088 -22.591457) (xy 5.758236 -22.879042) (xy 5.460428 -23.166168)
(xy 5.150282 -23.431504) (xy 4.825787 -23.676371) (xy 4.48493 -23.902088) (xy 4.125701 -24.109976)
(xy 3.746088 -24.301354) (xy 3.344079 -24.477543) (xy 3.287888 -24.500275) (xy 2.841226 -24.664503)
(xy 2.378553 -24.805702) (xy 1.900297 -24.923779) (xy 1.406889 -25.018643) (xy 0.898759 -25.090202)
(xy 0.376336 -25.138364) (xy 0.261055 -25.145721) (xy 0.156699 -25.150574) (xy 0.030147 -25.154371)
(xy -0.113075 -25.157112) (xy -0.26744 -25.158795) (xy -0.42742 -25.15942) (xy -0.58749 -25.158985)
(xy -0.742121 -25.157489) (xy -0.885788 -25.154932) (xy -1.012963 -25.151312) (xy -1.118119 -25.146627)
(xy -1.128889 -25.146) (xy -1.568602 -25.111845) (xy -1.985684 -25.063272) (xy -2.382064 -24.999762)
(xy -2.759673 -24.920798) (xy -3.120442 -24.825862) (xy -3.466301 -24.714434) (xy -3.799181 -24.585997)
(xy -4.121012 -24.440031) (xy -4.433724 -24.27602) (xy -4.491931 -24.242969) (xy -4.804375 -24.047315)
(xy -5.099108 -23.829742) (xy -5.376066 -23.590348) (xy -5.635189 -23.329236) (xy -5.876415 -23.046507)
(xy -6.099682 -22.74226) (xy -6.304929 -22.416598) (xy -6.492095 -22.069621) (xy -6.661116 -21.70143)
(xy -6.811933 -21.312127) (xy -6.944484 -20.901811) (xy -7.058706 -20.470585) (xy -7.154539 -20.018548)
(xy -7.189645 -19.820384) (xy -7.234899 -19.529697) (xy -7.272912 -19.240507) (xy -7.304468 -18.945496)
(xy -7.330346 -18.637343) (xy -7.351328 -18.308729) (xy -7.351691 -18.302111) (xy -7.355732 -18.208439)
(xy -7.359072 -18.090441) (xy -7.361709 -17.952003) (xy -7.363644 -17.797014) (xy -7.364876 -17.629361)
(xy -7.365404 -17.45293) (xy -7.365229 -17.27161) (xy -7.364349 -17.089287) (xy -7.362764 -16.909849)
(xy -7.360473 -16.737182) (xy -7.357476 -16.575175) (xy -7.353773 -16.427715) (xy -7.351935 -16.368889)
(xy -7.343207 -16.109437) (xy -7.334957 -15.867519) (xy -7.327059 -15.639909) (xy -7.319384 -15.423386)
(xy -7.311806 -15.214724) (xy -7.304197 -15.010702) (xy -7.29643 -14.808095) (xy -7.288377 -14.60368)
(xy -7.279912 -14.394233) (xy -7.270907 -14.176532) (xy -7.261235 -13.947352) (xy -7.250768 -13.703469)
(xy -7.239379 -13.441662) (xy -7.226941 -13.158705) (xy -7.213326 -12.851376) (xy -7.210674 -12.791722)
(xy -7.201743 -12.59025) (xy -7.192724 -12.385549) (xy -7.183762 -12.180953) (xy -7.175 -11.979796)
(xy -7.166581 -11.785411) (xy -7.158651 -11.601132) (xy -7.151351 -11.430291) (xy -7.144826 -11.276222)
(xy -7.13922 -11.142259) (xy -7.134677 -11.031735) (xy -7.133098 -10.992555) (xy -7.120207 -10.685788)
(xy -7.106876 -10.401102) (xy -7.092764 -10.132361) (xy -7.077526 -9.873423) (xy -7.060818 -9.618149)
(xy -7.042299 -9.360401) (xy -7.040352 -9.3345) (xy -7.026673 -9.12849) (xy -7.018763 -8.947954)
(xy -7.016656 -8.790737) (xy -7.020385 -8.654678) (xy -7.029983 -8.537622) (xy -7.045483 -8.437409)
(xy -7.054669 -8.396111) (xy -7.075545 -8.322413) (xy -7.103042 -8.245438) (xy -7.138291 -8.163281)
(xy -7.182425 -8.074036) (xy -7.236576 -7.975799) (xy -7.301875 -7.866664) (xy -7.379455 -7.744727)
(xy -7.470447 -7.608081) (xy -7.575985 -7.454823) (xy -7.697199 -7.283047) (xy -7.807388 -7.129392)
(xy -7.917749 -6.976163) (xy -8.013553 -6.842608) (xy -8.096935 -6.725648) (xy -8.170034 -6.622204)
(xy -8.234987 -6.529196) (xy -8.293932 -6.443544) (xy -8.349005 -6.362169) (xy -8.402344 -6.281991)
(xy -8.456087 -6.199931) (xy -8.505625 -6.123386) (xy -8.635721 -5.922963) (xy -8.769928 -5.719276)
(xy -8.911207 -5.507909) (xy -9.062514 -5.284448) (xy -9.226809 -5.044477) (xy -9.289839 -4.953)
(xy -9.412563 -4.77548) (xy -9.524295 -4.614659) (xy -9.627563 -4.467063) (xy -9.724896 -4.329221)
(xy -9.818823 -4.197659) (xy -9.911872 -4.068904) (xy -10.006573 -3.939484) (xy -10.105455 -3.805927)
(xy -10.211046 -3.664758) (xy -10.325874 -3.512506) (xy -10.45247 -3.345698) (xy -10.593361 -3.160861)
(xy -10.625669 -3.118555) (xy -10.777658 -2.91903) (xy -10.913589 -2.739279) (xy -11.035044 -2.57704)
(xy -11.143598 -2.430052) (xy -11.240832 -2.296056) (xy -11.328324 -2.17279) (xy -11.407653 -2.057993)
(xy -11.480396 -1.949405) (xy -11.548132 -1.844764) (xy -11.61244 -1.741811) (xy -11.674899 -1.638283)
(xy -11.737087 -1.531922) (xy -11.753802 -1.502833) (xy -11.85582 -1.320571) (xy -11.952201 -1.139359)
(xy -12.044432 -0.955818) (xy -12.134003 -0.766568) (xy -12.2224 -0.568231) (xy -12.311112 -0.357426)
(xy -12.401628 -0.130773) (xy -12.495436 0.115106) (xy -12.594023 0.383592) (xy -12.636155 0.500945)
(xy -12.831789 1.041229) (xy -13.024058 1.556053) (xy -13.214018 2.04783) (xy -13.402724 2.518974)
(xy -13.591233 2.971898) (xy -13.7806 3.409016) (xy -13.971883 3.832741) (xy -14.166135 4.245488)
(xy -14.364415 4.649668) (xy -14.567777 5.047697) (xy -14.777278 5.441986) (xy -14.881821 5.633333)
(xy -15.018024 5.885629) (xy -15.137595 6.118766) (xy -15.241584 6.335583) (xy -15.33104 6.538918)
(xy -15.407011 6.73161) (xy -15.470547 6.916496) (xy -15.522698 7.096417) (xy -15.564512 7.274209)
(xy -15.597039 7.452711) (xy -15.621184 7.633462) (xy -15.626604 7.701324) (xy -15.630698 7.792183)
(xy -15.633464 7.900845) (xy -15.634902 8.022116) (xy -15.635013 8.150803) (xy -15.633794 8.28171)
(xy -15.631246 8.409645) (xy -15.627369 8.529414) (xy -15.622161 8.635822) (xy -15.621278 8.650111)
(xy -15.615325 8.732537) (xy -15.608111 8.804956) (xy -15.598489 8.873411) (xy -15.585315 8.943945)
(xy -15.567443 9.022598) (xy -15.54373 9.115414) (xy -15.513029 9.228433) (xy -15.508753 9.243885)
(xy -15.494751 9.297778) (xy -15.484492 9.343667) (xy -15.479956 9.372597) (xy -15.479889 9.374645)
(xy -15.489187 9.39503) (xy -15.514434 9.430279) (xy -15.551661 9.475259) (xy -15.592307 9.520007)
(xy -15.731196 9.685524) (xy -15.856482 9.873364) (xy -15.966234 10.080156) (xy -16.058516 10.302525)
(xy -16.085109 10.379676) (xy -16.116905 10.481478) (xy -16.144146 10.580295) (xy -16.168157 10.682292)
(xy -16.190266 10.793632) (xy -16.211801 10.920481) (xy -16.234087 11.069001) (xy -16.235232 11.077012)
(xy -16.249208 11.171398) (xy -16.262411 11.249446) (xy -16.276692 11.318706) (xy -16.293904 11.386724)
(xy -16.315896 11.461048) (xy -16.344522 11.549227) (xy -16.368495 11.62029) (xy -16.407471 11.734395)
(xy -16.439433 11.826425) (xy -16.466244 11.901095) (xy -16.489763 11.96312) (xy -16.511855 12.017215)
(xy -16.534381 12.068094) (xy -16.559202 12.120472) (xy -16.588181 12.179066) (xy -16.592836 12.18835)
(xy -16.712461 12.400114) (xy -16.847105 12.588073) (xy -16.99737 12.752684) (xy -17.16386 12.894405)
(xy -17.347177 13.013694) (xy -17.547926 13.111008) (xy -17.766709 13.186806) (xy -17.973281 13.235813)
(xy -18.046047 13.248888) (xy -18.120126 13.260178) (xy -18.197934 13.269776) (xy -18.281891 13.277777)
(xy -18.374414 13.284276) (xy -18.477921 13.289368) (xy -18.59483 13.293148) (xy -18.727559 13.29571)
(xy -18.878526 13.297149) (xy -19.05015 13.297561) (xy -19.244848 13.297039) (xy -19.465038 13.295679)
(xy -19.4945 13.295454) (xy -19.636378 13.29446) (xy -19.753319 13.293962) (xy -19.848605 13.294072)
(xy -19.925517 13.294899) (xy -19.98734 13.296557) (xy -20.037354 13.299154) (xy -20.078842 13.302804)
(xy -20.115087 13.307615) (xy -20.14937 13.313701) (xy -20.172084 13.318376) (xy -20.356044 13.37088)
(xy -20.523356 13.446043) (xy -20.673752 13.543543) (xy -20.806962 13.663055) (xy -20.922718 13.804258)
(xy -21.020752 13.966828) (xy -21.100794 14.150441) (xy -21.162576 14.354774) (xy -21.202677 14.558278)
(xy -21.214611 14.663156) (xy -21.222237 14.788319) (xy -21.225552 14.926162) (xy -21.224557 15.069081)
(xy -21.219251 15.209468) (xy -21.209632 15.33972) (xy -21.202756 15.402278) (xy -21.181732 15.55262)
(xy -21.156583 15.698143) (xy -21.126012 15.844277) (xy -21.088722 15.996448) (xy -21.043418 16.160086)
(xy -20.988802 16.340619) (xy -20.94832 16.467667) (xy -20.904371 16.607064) (xy -20.868827 16.729245)
(xy -20.839486 16.843144) (xy -20.814146 16.957697) (xy -20.790604 17.08184) (xy -20.776173 17.166167)
(xy -20.766764 17.241853) (xy -20.759336 17.339661) (xy -20.753936 17.453556) (xy -20.750606 17.577504)
(xy -20.749393 17.705469) (xy -20.75034 17.831419) (xy -20.753492 17.949318) (xy -20.758893 18.053133)
(xy -20.766589 18.136828) (xy -20.768882 18.153945) (xy -20.793452 18.309191) (xy -20.821501 18.458709)
(xy -20.854241 18.606938) (xy -20.892881 18.758315) (xy -20.938631 18.917278) (xy -20.992702 19.088265)
(xy -21.056303 19.275713) (xy -21.130645 19.48406) (xy -21.134344 19.494222) (xy -21.197377 19.668534)
(xy -21.250802 19.819603) (xy -21.295388 19.950427) (xy -21.331908 20.064007) (xy -21.361132 20.163342)
(xy -21.383833 20.251431) (xy -21.40078 20.331275) (xy -21.412747 20.405874) (xy -21.420503 20.478226)
(xy -21.42482 20.551332) (xy -21.426469 20.628191) (xy -21.426485 20.630445) (xy -22.492823 20.630445)
(xy -22.491662 20.541275) (xy -22.487195 20.441318) (xy -22.478949 20.343576) (xy -22.466249 20.24526)
(xy -22.448419 20.143583) (xy -22.424783 20.035757) (xy -22.394663 19.918995) (xy -22.357383 19.790507)
(xy -22.312268 19.647506) (xy -22.258641 19.487205) (xy -22.195826 19.306815) (xy -22.123146 19.103549)
(xy -22.109898 19.066897) (xy -22.042896 18.878441) (xy -21.986506 18.712198) (xy -21.939704 18.564666)
(xy -21.901464 18.432346) (xy -21.870763 18.311739) (xy -21.846576 18.199343) (xy -21.831906 18.117178)
(xy -21.808327 17.950061) (xy -21.795448 17.800356) (xy -21.792843 17.659543) (xy -21.800084 17.519098)
(xy -21.80126 17.505565) (xy -21.813066 17.394688) (xy -21.828645 17.289279) (xy -21.849335 17.183444)
(xy -21.876477 17.071287) (xy -21.911409 16.946914) (xy -21.955473 16.80443) (xy -21.972867 16.750524)
(xy -22.046937 16.51473) (xy -22.109327 16.297412) (xy -22.161603 16.092123) (xy -22.205334 15.89242)
(xy -22.242086 15.691859) (xy -22.263045 15.5575) (xy -22.273237 15.467025) (xy -22.281002 15.355525)
(xy -22.286345 15.228795) (xy -22.289268 15.092633) (xy -22.289773 14.952834) (xy -22.287865 14.815195)
(xy -22.283546 14.685512) (xy -22.276819 14.569581) (xy -22.267686 14.473198) (xy -22.262463 14.435667)
(xy -22.220186 14.208928) (xy -22.168653 14.004419) (xy -22.106012 13.817137) (xy -22.030411 13.642079)
(xy -21.939998 13.474241) (xy -21.859727 13.347675) (xy -21.700829 13.136512) (xy -21.522 12.943742)
(xy -21.325288 12.77094) (xy -21.112743 12.619683) (xy -20.886415 12.491547) (xy -20.648353 12.38811)
(xy -20.581056 12.364188) (xy -20.513823 12.341495) (xy -20.453858 12.321843) (xy -20.398604 12.30499)
(xy -20.345504 12.290694) (xy -20.292 12.278712) (xy -20.235534 12.268801) (xy -20.173549 12.26072)
(xy -20.103487 12.254226) (xy -20.02279 12.249076) (xy -19.928902 12.245028) (xy -19.819263 12.241839)
(xy -19.691317 12.239268) (xy -19.542505 12.237072) (xy -19.370271 12.235008) (xy -19.198167 12.233118)
(xy -19.006091 12.230989) (xy -18.839789 12.228961) (xy -18.696815 12.226862) (xy -18.574724 12.224519)
(xy -18.471068 12.221758) (xy -18.383402 12.218408) (xy -18.309278 12.214294) (xy -18.246252 12.209245)
(xy -18.191875 12.203087) (xy -18.143704 12.195648) (xy -18.099289 12.186754) (xy -18.056187 12.176233)
(xy -18.01195 12.163911) (xy -17.964132 12.149616) (xy -17.94826 12.144776) (xy -17.836927 12.103806)
(xy -17.748961 12.055121) (xy -17.679943 11.995955) (xy -17.647312 11.956376) (xy -17.584166 11.855543)
(xy -17.522271 11.729067) (xy -17.462468 11.57952) (xy -17.405601 11.409477) (xy -17.35251 11.221515)
(xy -17.30404 11.018206) (xy -17.261033 10.802125) (xy -17.251097 10.745611) (xy -17.220074 10.576464)
(xy -17.188234 10.425942) (xy -17.156199 10.29662) (xy -17.124592 10.191075) (xy -17.102749 10.131976)
(xy -17.068375 10.058272) (xy -17.018112 9.965552) (xy -16.951544 9.853119) (xy -16.868255 9.720279)
(xy -16.76783 9.566336) (xy -16.735008 9.516997) (xy -16.583593 9.290271) (xy -16.617123 9.164704)
(xy -16.635198 9.083569) (xy -16.649937 8.986924) (xy -16.661496 8.872529) (xy -16.670031 8.738145)
(xy -16.675699 8.581531) (xy -16.678655 8.400447) (xy -16.679194 8.273408) (xy -16.675652 8.007481)
(xy -16.664402 7.763123) (xy -16.644828 7.534584) (xy -16.61631 7.316116) (xy -16.578231 7.101969)
(xy -16.530207 6.887348) (xy -16.482411 6.704399) (xy -16.429372 6.525489) (xy -16.369751 6.347441)
(xy -16.302207 6.167077) (xy -16.2254 5.98122) (xy -16.13799 5.786693) (xy -16.038635 5.580317)
(xy -15.925997 5.358916) (xy -15.798734 5.119312) (xy -15.735068 5.002389) (xy -15.660547 4.864389)
(xy -15.577 4.706072) (xy -15.487003 4.532567) (xy -15.393134 4.349003) (xy -15.29797 4.160511)
(xy -15.204087 3.972219) (xy -15.114065 3.789258) (xy -15.030478 3.616756) (xy -14.955905 3.459842)
(xy -14.905569 3.351389) (xy -14.742585 2.988924) (xy -14.58065 2.615543) (xy -14.418763 2.228743)
(xy -14.255924 1.826022) (xy -14.091133 1.404877) (xy -13.923389 0.962806) (xy -13.751692 0.497305)
(xy -13.630801 0.162278) (xy -13.507189 -0.176777) (xy -13.387266 -0.491565) (xy -13.269195 -0.785493)
(xy -13.151138 -1.061969) (xy -13.031257 -1.324403) (xy -12.907715 -1.576202) (xy -12.778673 -1.820774)
(xy -12.642294 -2.061528) (xy -12.496741 -2.301871) (xy -12.340175 -2.545212) (xy -12.170759 -2.794959)
(xy -11.986655 -3.05452) (xy -11.786025 -3.327303) (xy -11.656114 -3.49984) (xy -11.432004 -3.795993)
(xy -11.223758 -4.072886) (xy -11.02948 -4.333193) (xy -10.847275 -4.579591) (xy -10.675248 -4.814753)
(xy -10.511503 -5.041354) (xy -10.354146 -5.262068) (xy -10.201281 -5.479571) (xy -10.051013 -5.696537)
(xy -9.901446 -5.915641) (xy -9.750685 -6.139557) (xy -9.596835 -6.370961) (xy -9.438 -6.612526)
(xy -9.295041 -6.831871) (xy -9.254001 -6.894829) (xy -9.21455 -6.954748) (xy -9.175086 -7.013916)
(xy -9.134007 -7.074619) (xy -9.08971 -7.139147) (xy -9.040594 -7.209786) (xy -8.985056 -7.288825)
(xy -8.921493 -7.378551) (xy -8.848304 -7.481252) (xy -8.763887 -7.599215) (xy -8.666639 -7.734728)
(xy -8.554957 -7.89008) (xy -8.515765 -7.944555) (xy -8.408779 -8.094425) (xy -8.318561 -8.223432)
(xy -8.244312 -8.332805) (xy -8.185234 -8.42377) (xy -8.140529 -8.497553) (xy -8.109397 -8.555383)
(xy -8.091952 -8.595852) (xy -8.082345 -8.632406) (xy -8.07603 -8.680202) (xy -8.073006 -8.742105)
(xy -8.073275 -8.820984) (xy -8.076838 -8.919706) (xy -8.083696 -9.041137) (xy -8.092176 -9.165167)
(xy -8.106724 -9.377705) (xy -8.120993 -9.609316) (xy -8.135069 -9.861649) (xy -8.149038 -10.136349)
(xy -8.162984 -10.435066) (xy -8.176995 -10.759447) (xy -8.184792 -10.950222) (xy -8.19091 -11.100693)
(xy -8.198093 -11.273885) (xy -8.206105 -11.464325) (xy -8.214712 -11.666544) (xy -8.223679 -11.875068)
(xy -8.232771 -12.084426) (xy -8.241753 -12.289147) (xy -8.250392 -12.48376) (xy -8.255028 -12.587111)
(xy -8.273146 -12.992119) (xy -8.289807 -13.37076) (xy -8.305085 -13.724844) (xy -8.319054 -14.056186)
(xy -8.331788 -14.366598) (xy -8.343362 -14.657893) (xy -8.353849 -14.931882) (xy -8.363324 -15.19038)
(xy -8.37186 -15.435198) (xy -8.374311 -15.508111) (xy -8.378948 -15.645998) (xy -8.383584 -15.781363)
(xy -8.388078 -15.910265) (xy -8.392288 -16.028764) (xy -8.396075 -16.132917) (xy -8.399297 -16.218783)
(xy -8.401814 -16.282421) (xy -8.402792 -16.305312) (xy -8.407253 -16.423809) (xy -8.411111 -16.563493)
(xy -8.414344 -16.719861) (xy -8.416931 -16.888413) (xy -8.418848 -17.064645) (xy -8.420074 -17.244058)
(xy -8.420586 -17.422149) (xy -8.420363 -17.594418) (xy -8.419382 -17.756361) (xy -8.417621 -17.903478)
(xy -8.415059 -18.031268) (xy -8.411774 -18.132778) (xy -8.381531 -18.672301) (xy -8.336334 -19.188658)
(xy -8.275941 -19.68277) (xy -8.200112 -20.155556) (xy -8.108605 -20.607934) (xy -8.001178 -21.040824)
(xy -7.877589 -21.455145) (xy -7.737597 -21.851817) (xy -7.58096 -22.231759) (xy -7.407436 -22.59589)
(xy -7.216785 -22.94513) (xy -7.093211 -23.149278) (xy -6.85986 -23.49503) (xy -6.607452 -23.820087)
(xy -6.335917 -24.1245) (xy -6.045187 -24.408324) (xy -5.735191 -24.67161) (xy -5.40586 -24.914412)
(xy -5.057126 -25.136782) (xy -4.688919 -25.338773) (xy -4.301169 -25.520439) (xy -3.893808 -25.681832)
(xy -3.675945 -25.757189) (xy -3.268769 -25.878995) (xy -2.84243 -25.982834) (xy -2.399645 -26.068563)
(xy -1.943134 -26.136037) (xy -1.475616 -26.185113) (xy -0.999809 -26.215645) (xy -0.518433 -26.22749)
(xy -0.034205 -26.220504)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 4.029266 -10.191824) (xy 4.016287 -10.151042) (xy 3.999929 -10.088902) (xy 3.981606 -10.01186)
(xy 3.962728 -9.926373) (xy 3.94471 -9.838894) (xy 3.928962 -9.755881) (xy 3.916897 -9.683788)
(xy 3.91444 -9.666935) (xy 3.899154 -9.505514) (xy 3.895657 -9.332893) (xy 3.903578 -9.159087)
(xy 3.922548 -8.994116) (xy 3.943381 -8.884054) (xy 3.9809 -8.737597) (xy 4.02657 -8.593065)
(xy 4.081722 -8.447944) (xy 4.147692 -8.299719) (xy 4.225812 -8.145875) (xy 4.317416 -7.983899)
(xy 4.423836 -7.811274) (xy 4.546408 -7.625488) (xy 4.686463 -7.424025) (xy 4.80448 -7.260167)
(xy 4.937499 -7.076525) (xy 5.054762 -6.911519) (xy 5.158251 -6.761832) (xy 5.24995 -6.624147)
(xy 5.331841 -6.495149) (xy 5.405906 -6.371522) (xy 5.474128 -6.249951) (xy 5.538491 -6.127118)
(xy 5.600975 -5.999708) (xy 5.663565 -5.864405) (xy 5.728242 -5.717893) (xy 5.732548 -5.707944)
(xy 5.802043 -5.543267) (xy 5.869167 -5.375799) (xy 5.93479 -5.202889) (xy 5.999782 -5.021887)
(xy 6.065016 -4.83014) (xy 6.131362 -4.624996) (xy 6.199691 -4.403806) (xy 6.270874 -4.163917)
(xy 6.345782 -3.902677) (xy 6.425286 -3.617436) (xy 6.455679 -3.506611) (xy 6.512571 -3.301084)
(xy 6.564431 -3.119844) (xy 6.612319 -2.959619) (xy 6.657289 -2.817139) (xy 6.700399 -2.689131)
(xy 6.742706 -2.572325) (xy 6.785266 -2.46345) (xy 6.818097 -2.384778) (xy 6.855099 -2.302331)
(xy 6.904137 -2.199092) (xy 6.963292 -2.078799) (xy 7.030649 -1.945192) (xy 7.10429 -1.80201)
(xy 7.182298 -1.652992) (xy 7.262755 -1.501878) (xy 7.343744 -1.352407) (xy 7.396881 -1.255889)
(xy 7.540319 -0.99425) (xy 7.683597 -0.727329) (xy 7.825023 -0.458504) (xy 7.962902 -0.191151)
(xy 8.09554 0.071354) (xy 8.221244 0.325635) (xy 8.338319 0.568313) (xy 8.445071 0.796014)
(xy 8.539807 1.00536) (xy 8.595869 1.134037) (xy 8.66975 1.319439) (xy 8.743283 1.528062)
(xy 8.815186 1.754917) (xy 8.884178 1.99502) (xy 8.948977 2.243382) (xy 9.008303 2.495018)
(xy 9.060872 2.74494) (xy 9.105405 2.988163) (xy 9.140618 3.219698) (xy 9.149389 3.287889)
(xy 9.158803 3.376098) (xy 9.168058 3.484697) (xy 9.177106 3.611608) (xy 9.1859 3.754755)
(xy 9.19439 3.91206) (xy 9.20253 4.081444) (xy 9.21027 4.260832) (xy 9.217563 4.448144)
(xy 9.224361 4.641304) (xy 9.230615 4.838235) (xy 9.236279 5.036857) (xy 9.241302 5.235095)
(xy 9.245638 5.430871) (xy 9.249239 5.622106) (xy 9.252056 5.806724) (xy 9.254041 5.982648)
(xy 9.255146 6.147798) (xy 9.255323 6.300099) (xy 9.254524 6.437472) (xy 9.252702 6.55784)
(xy 9.249807 6.659125) (xy 9.245792 6.739251) (xy 9.240609 6.796139) (xy 9.234209 6.827711)
(xy 9.231409 6.83268) (xy 9.225148 6.833635) (xy 9.213274 6.82835) (xy 9.194829 6.815915)
(xy 9.168857 6.795422) (xy 9.134398 6.765963) (xy 9.090497 6.72663) (xy 9.036195 6.676514)
(xy 8.970535 6.614708) (xy 8.892559 6.540302) (xy 8.801309 6.452389) (xy 8.69583 6.35006)
(xy 8.575161 6.232408) (xy 8.438347 6.098523) (xy 8.28443 5.947498) (xy 8.112452 5.778424)
(xy 7.921455 5.590394) (xy 7.913796 5.582849) (xy 7.013222 4.695754) (xy 7.013222 4.360968)
(xy 7.012038 4.217412) (xy 7.007617 4.097405) (xy 6.998654 3.996339) (xy 6.983848 3.909607)
(xy 6.961892 3.832603) (xy 6.931485 3.760719) (xy 6.891321 3.689347) (xy 6.840097 3.613881)
(xy 6.778832 3.532693) (xy 6.720276 3.461651) (xy 6.643829 3.375455) (xy 6.552818 3.277557)
(xy 6.450574 3.171409) (xy 6.340423 3.060463) (xy 6.225695 2.948173) (xy 6.109717 2.83799)
(xy 6.103055 2.831767) (xy 5.988802 2.726853) (xy 5.890212 2.640482) (xy 5.803946 2.570332)
(xy 5.726666 2.514078) (xy 5.655033 2.469399) (xy 5.585707 2.43397) (xy 5.515349 2.40547)
(xy 5.467452 2.38955) (xy 5.414222 2.378521) (xy 5.338711 2.370216) (xy 5.24673 2.36464)
(xy 5.144089 2.361796) (xy 5.036598 2.361689) (xy 4.930068 2.364323) (xy 4.830309 2.369702)
(xy 4.743131 2.377831) (xy 4.674346 2.388712) (xy 4.673093 2.388981) (xy 4.52845 2.425963)
(xy 4.373001 2.477229) (xy 4.202421 2.544279) (xy 4.107142 2.58551) (xy 4.034425 2.616574)
(xy 3.965927 2.643554) (xy 3.908033 2.664094) (xy 3.867129 2.675836) (xy 3.858181 2.67741)
(xy 3.836837 2.679311) (xy 3.818035 2.677655) (xy 3.797988 2.66991) (xy 3.772914 2.653547)
(xy 3.739027 2.626035) (xy 3.692544 2.584843) (xy 3.629681 2.527441) (xy 3.618292 2.516989)
(xy 3.550263 2.454394) (xy 3.462765 2.373631) (xy 3.356962 2.275786) (xy 3.23402 2.161942)
(xy 3.095105 2.033186) (xy 2.941383 1.890603) (xy 2.774018 1.735276) (xy 2.594177 1.568292)
(xy 2.403025 1.390736) (xy 2.201728 1.203692) (xy 1.991451 1.008245) (xy 1.773359 0.805481)
(xy 1.548619 0.596484) (xy 1.318396 0.38234) (xy 1.083855 0.164133) (xy 0.846163 -0.057051)
(xy 0.606484 -0.280128) (xy 0.365984 -0.504012) (xy 0.125829 -0.727619) (xy -0.112816 -0.949862)
(xy -0.348785 -1.169659) (xy -0.580912 -1.385922) (xy -0.808033 -1.597568) (xy -1.02898 -1.803511)
(xy -1.24259 -2.002666) (xy -1.447696 -2.193948) (xy -1.643132 -2.376273) (xy -1.827734 -2.548555)
(xy -2.000335 -2.709709) (xy -2.15977 -2.85865) (xy -2.304874 -2.994293) (xy -2.43448 -3.115553)
(xy -2.547424 -3.221345) (xy -2.64254 -3.310585) (xy -2.707217 -3.371405) (xy -2.804487 -3.462981)
(xy -2.899922 -3.552779) (xy -2.99054 -3.637998) (xy -3.073357 -3.715832) (xy -3.14539 -3.78348)
(xy -3.203656 -3.838138) (xy -3.245173 -3.877003) (xy -3.253138 -3.884438) (xy -3.370788 -3.994155)
(xy -3.710446 -3.951209) (xy -4.050104 -3.908264) (xy -4.371024 -4.152866) (xy -4.637902 -4.355818)
(xy -4.883512 -4.541612) (xy -5.108593 -4.71076) (xy -5.313881 -4.863777) (xy -5.500113 -5.001177)
(xy -5.668026 -5.123474) (xy -5.818357 -5.231182) (xy -5.951843 -5.324815) (xy -6.06922 -5.404888)
(xy -6.171226 -5.471913) (xy -6.258597 -5.526406) (xy -6.332071 -5.568879) (xy -6.392385 -5.599848)
(xy -6.440274 -5.619826) (xy -6.476477 -5.629328) (xy -6.489136 -5.630322) (xy -6.518402 -5.623843)
(xy -6.562205 -5.606904) (xy -6.607629 -5.584927) (xy -6.649415 -5.561041) (xy -6.684215 -5.536579)
(xy -6.711322 -5.510319) (xy -6.730029 -5.481036) (xy -6.739626 -5.447507) (xy -6.739406 -5.408508)
(xy -6.728662 -5.362816) (xy -6.706684 -5.309205) (xy -6.672766 -5.246453) (xy -6.626199 -5.173336)
(xy -6.566276 -5.08863) (xy -6.492288 -4.991111) (xy -6.403528 -4.879555) (xy -6.299287 -4.752739)
(xy -6.178859 -4.609439) (xy -6.041533 -4.44843) (xy -5.886604 -4.268489) (xy -5.764471 -4.127346)
(xy -5.621459 -3.96211) (xy -5.495776 -3.816423) (xy -5.386088 -3.68867) (xy -5.291058 -3.577232)
(xy -5.20935 -3.480493) (xy -5.139628 -3.396836) (xy -5.080556 -3.324643) (xy -5.030798 -3.262298)
(xy -4.989017 -3.208182) (xy -4.953879 -3.160679) (xy -4.924045 -3.118171) (xy -4.898181 -3.079043)
(xy -4.897457 -3.077911) (xy -4.848969 -3.002065) (xy -4.874176 -2.739565) (xy -4.882586 -2.653488)
(xy -4.890571 -2.574501) (xy -4.89757 -2.50795) (xy -4.903023 -2.459178) (xy -4.906214 -2.434449)
(xy -4.907031 -2.422231) (xy -4.904174 -2.409106) (xy -4.895676 -2.392975) (xy -4.879571 -2.371734)
(xy -4.85389 -2.343285) (xy -4.816667 -2.305526) (xy -4.765934 -2.256356) (xy -4.699725 -2.193674)
(xy -4.616073 -2.115379) (xy -4.548495 -2.052403) (xy -4.49986 -2.007126) (xy -4.431838 -1.94381)
(xy -4.345703 -1.863642) (xy -4.242731 -1.767808) (xy -4.124196 -1.657494) (xy -3.991373 -1.533887)
(xy -3.845536 -1.398172) (xy -3.687961 -1.251536) (xy -3.519921 -1.095165) (xy -3.342692 -0.930245)
(xy -3.157549 -0.757962) (xy -2.965765 -0.579503) (xy -2.768616 -0.396054) (xy -2.567377 -0.208801)
(xy -2.363322 -0.01893) (xy -2.236612 0.098971) (xy -1.903995 0.408487) (xy -1.591328 0.699493)
(xy -1.297876 0.972679) (xy -1.022904 1.228737) (xy -0.765676 1.468355) (xy -0.525458 1.692225)
(xy -0.301515 1.901036) (xy -0.093112 2.095479) (xy 0.100487 2.276244) (xy 0.280016 2.44402)
(xy 0.446211 2.599499) (xy 0.599805 2.743371) (xy 0.741535 2.876325) (xy 0.872136 2.999052)
(xy 0.992341 3.112242) (xy 1.102888 3.216585) (xy 1.204509 3.312772) (xy 1.297941 3.401493)
(xy 1.383919 3.483437) (xy 1.463176 3.559296) (xy 1.53645 3.629759) (xy 1.604473 3.695516)
(xy 1.667983 3.757259) (xy 1.727712 3.815676) (xy 1.784397 3.871459) (xy 1.838773 3.925296)
(xy 1.850419 3.936868) (xy 1.953627 4.040107) (xy 2.037967 4.126024) (xy 2.105137 4.19662)
(xy 2.15684 4.253896) (xy 2.194773 4.299854) (xy 2.220639 4.336494) (xy 2.236137 4.365819)
(xy 2.242967 4.38983) (xy 2.243666 4.399767) (xy 2.239306 4.423932) (xy 2.22718 4.469892)
(xy 2.208722 4.532762) (xy 2.185367 4.607657) (xy 2.158823 4.688868) (xy 2.107908 4.85029)
(xy 2.069266 4.995481) (xy 2.041299 5.132786) (xy 2.022409 5.270553) (xy 2.011 5.417129)
(xy 2.00836 5.475111) (xy 2.005742 5.586893) (xy 2.008267 5.677587) (xy 2.017219 5.754064)
(xy 2.033881 5.823191) (xy 2.059538 5.891838) (xy 2.095472 5.966876) (xy 2.102916 5.981183)
(xy 2.144272 6.052673) (xy 2.196548 6.129482) (xy 2.261705 6.213979) (xy 2.341702 6.308537)
(xy 2.438498 6.415526) (xy 2.554055 6.537318) (xy 2.610457 6.595297) (xy 2.785509 6.770137)
(xy 2.947801 6.924061) (xy 3.096831 7.056658) (xy 3.232094 7.167514) (xy 3.353087 7.256217)
(xy 3.459307 7.322354) (xy 3.550249 7.365515) (xy 3.55113 7.365848) (xy 3.603783 7.382612)
(xy 3.662282 7.39455) (xy 3.730395 7.401801) (xy 3.811889 7.404507) (xy 3.910533 7.402809)
(xy 4.030095 7.396848) (xy 4.15298 7.388386) (xy 4.243859 7.382082) (xy 4.325644 7.377383)
(xy 4.394011 7.374458) (xy 4.444638 7.373476) (xy 4.473201 7.374607) (xy 4.477491 7.375694)
(xy 4.490992 7.386812) (xy 4.52356 7.41491) (xy 4.573174 7.45821) (xy 4.637816 7.514935)
(xy 4.715464 7.583308) (xy 4.804098 7.661552) (xy 4.901698 7.747888) (xy 5.006245 7.84054)
(xy 5.051733 7.880904) (xy 5.270024 8.074593) (xy 5.486977 8.266931) (xy 5.701319 8.456798)
(xy 5.911778 8.643072) (xy 6.117081 8.824632) (xy 6.315956 9.000357) (xy 6.507131 9.169125)
(xy 6.689333 9.329815) (xy 6.86129 9.481305) (xy 7.021729 9.622476) (xy 7.169378 9.752204)
(xy 7.302964 9.86937) (xy 7.421216 9.972851) (xy 7.52286 10.061527) (xy 7.606625 10.134276)
(xy 7.671237 10.189977) (xy 7.696941 10.211913) (xy 7.766401 10.270882) (xy 7.654395 10.392762)
(xy 7.532383 10.532338) (xy 7.431405 10.663963) (xy 7.347882 10.793502) (xy 7.278237 10.926818)
(xy 7.218894 11.069778) (xy 7.18891 11.155986) (xy 7.167067 11.22448) (xy 7.147635 11.290302)
(xy 7.130491 11.355427) (xy 7.115511 11.421832) (xy 7.10257 11.491491) (xy 7.091544 11.566382)
(xy 7.082309 11.64848) (xy 7.074741 11.739761) (xy 7.068716 11.842201) (xy 7.064109 11.957776)
(xy 7.060797 12.088462) (xy 7.058655 12.236235) (xy 7.057559 12.403071) (xy 7.057385 12.590946)
(xy 7.058009 12.801835) (xy 7.059307 13.037715) (xy 7.060421 13.200945) (xy 7.062258 13.542856)
(xy 7.062903 13.886789) (xy 7.062398 14.231226) (xy 7.060786 14.574651) (xy 7.058108 14.915545)
(xy 7.054406 15.252391) (xy 7.049722 15.583673) (xy 7.044099 15.907872) (xy 7.037578 16.223471)
(xy 7.030202 16.528953) (xy 7.022013 16.822801) (xy 7.013053 17.103496) (xy 7.003363 17.369522)
(xy 6.992987 17.619361) (xy 6.981965 17.851496) (xy 6.970341 18.06441) (xy 6.958156 18.256585)
(xy 6.945452 18.426503) (xy 6.932271 18.572648) (xy 6.918656 18.693502) (xy 6.905638 18.781889)
(xy 6.886145 18.872923) (xy 6.859618 18.950591) (xy 6.822007 19.023052) (xy 6.769257 19.098469)
(xy 6.71646 19.162889) (xy 6.597528 19.315473) (xy 6.479912 19.493073) (xy 6.365266 19.692485)
(xy 6.255245 19.910505) (xy 6.151501 20.143928) (xy 6.055688 20.38955) (xy 5.998465 20.554171)
(xy 5.961039 20.665413) (xy 5.930311 20.752219) (xy 5.905074 20.817245) (xy 5.884117 20.863147)
(xy 5.866232 20.89258) (xy 5.85021 20.908201) (xy 5.835258 20.912667) (xy 5.815551 20.899349)
(xy 5.804046 20.860028) (xy 5.800574 20.795649) (xy 5.804961 20.707161) (xy 5.817035 20.59551)
(xy 5.836625 20.461643) (xy 5.86356 20.306506) (xy 5.897666 20.131048) (xy 5.938773 19.936216)
(xy 5.986708 19.722955) (xy 6.041299 19.492213) (xy 6.102375 19.244937) (xy 6.169764 18.982074)
(xy 6.220696 18.788945) (xy 6.28228 18.554071) (xy 6.335095 18.343451) (xy 6.379457 18.154617)
(xy 6.41568 17.985103) (xy 6.444078 17.832442) (xy 6.464967 17.694167) (xy 6.478662 17.56781)
(xy 6.485477 17.450905) (xy 6.485727 17.340985) (xy 6.479727 17.235582) (xy 6.467792 17.132231)
(xy 6.450237 17.028463) (xy 6.447279 17.013415) (xy 6.414694 16.878708) (xy 6.37515 16.768408)
(xy 6.327288 16.679264) (xy 6.284502 16.623648) (xy 6.210816 16.55963) (xy 6.129022 16.522516)
(xy 6.039064 16.512312) (xy 5.940886 16.529025) (xy 5.834432 16.57266) (xy 5.719648 16.643223)
(xy 5.654532 16.692245) (xy 5.595175 16.742045) (xy 5.534422 16.798308) (xy 5.470385 16.863216)
(xy 5.401177 16.938946) (xy 5.324909 17.02768) (xy 5.239693 17.131596) (xy 5.143641 17.252874)
(xy 5.034864 17.393694) (xy 4.948436 17.507311) (xy 4.750154 17.757389) (xy 4.551845 17.982563)
(xy 4.349885 18.185918) (xy 4.140649 18.370544) (xy 3.920513 18.539525) (xy 3.685852 18.69595)
(xy 3.433043 18.842906) (xy 3.294944 18.915718) (xy 3.070959 19.0239) (xy 2.839485 19.123037)
(xy 2.598797 19.213485) (xy 2.347168 19.295597) (xy 2.082871 19.369729) (xy 1.804181 19.436233)
(xy 1.50937 19.495464) (xy 1.196713 19.547777) (xy 0.864482 19.593525) (xy 0.510952 19.633063)
(xy 0.134396 19.666745) (xy -0.266912 19.694924) (xy -0.591208 19.712963) (xy -0.712386 19.717982)
(xy -0.849059 19.721876) (xy -0.99638 19.724644) (xy -1.149504 19.726289) (xy -1.303582 19.726813)
(xy -1.45377 19.726216) (xy -1.59522 19.724501) (xy -1.723085 19.721668) (xy -1.83252 19.717719)
(xy -1.918677 19.712656) (xy -1.919819 19.712567) (xy -2.203317 19.683539) (xy -2.471271 19.642029)
(xy -2.720186 19.588681) (xy -2.946566 19.524143) (xy -2.956278 19.520949) (xy -3.075391 19.479079)
(xy -3.185258 19.434634) (xy -3.289799 19.385242) (xy -3.39293 19.328529) (xy -3.498572 19.262123)
(xy -3.610641 19.183651) (xy -3.733057 19.09074) (xy -3.869737 18.981019) (xy -3.929945 18.93134)
(xy -4.053939 18.829928) (xy -4.160895 18.746217) (xy -4.254018 18.678242) (xy -4.336513 18.624039)
(xy -4.411582 18.58164) (xy -4.482431 18.549082) (xy -4.552264 18.524398) (xy -4.623858 18.505719)
(xy -4.703677 18.492015) (xy -4.767037 18.492096) (xy -4.822677 18.507037) (xy -4.877946 18.537012)
(xy -4.938913 18.588644) (xy -4.972676 18.647226) (xy -4.979396 18.713446) (xy -4.959238 18.787991)
(xy -4.944591 18.818593) (xy -4.925237 18.853206) (xy -4.903647 18.886969) (xy -4.877092 18.923165)
(xy -4.842843 18.965075) (xy -4.798172 19.015982) (xy -4.740348 19.079166) (xy -4.666643 19.157911)
(xy -4.631305 19.195345) (xy -4.504667 19.335957) (xy -4.398211 19.469694) (xy -4.307298 19.603121)
(xy -4.227291 19.742799) (xy -4.185562 19.826111) (xy -4.115318 19.983792) (xy -4.061152 20.131533)
(xy -4.019448 20.279613) (xy -4.00723 20.33294) (xy -3.96868 20.568407) (xy -3.955469 20.800099)
(xy -3.967263 21.025988) (xy -4.003729 21.244048) (xy -4.064535 21.452249) (xy -4.149345 21.648565)
(xy -4.252252 21.822808) (xy -4.289561 21.872902) (xy -4.338244 21.931155) (xy -4.394701 21.993985)
(xy -4.455329 22.057814) (xy -4.516528 22.11906) (xy -4.574695 22.174143) (xy -4.626229 22.219483)
(xy -4.667528 22.2515) (xy -4.69499 22.266614) (xy -4.699435 22.267333) (xy -4.717483 22.257255)
(xy -4.719024 22.255072) (xy -4.717963 22.237022) (xy -4.708529 22.199648) (xy -4.692583 22.149926)
(xy -4.686065 22.1316) (xy -4.630068 21.944321) (xy -4.591445 21.741228) (xy -4.570543 21.529014)
(xy -4.56771 21.314374) (xy -4.583294 21.104) (xy -4.617642 20.904587) (xy -4.621456 20.888282)
(xy -4.673107 20.708777) (xy -4.74638 20.511829) (xy -4.841304 20.29739) (xy -4.957906 20.065413)
(xy -5.096214 19.815847) (xy -5.256254 19.548646) (xy -5.438054 19.26376) (xy -5.641642 18.961141)
(xy -5.867045 18.640741) (xy -5.991564 18.468896) (xy -6.092697 18.330528) (xy -6.17833 18.213108)
(xy -6.249694 18.11486) (xy -6.308023 18.034005) (xy -6.354549 17.968765) (xy -6.390504 17.917363)
(xy -6.41712 17.878021) (xy -6.43563 17.84896) (xy -6.447266 17.828404) (xy -6.453261 17.814574)
(xy -6.454847 17.805692) (xy -6.45408 17.801685) (xy -6.434705 17.778929) (xy -6.389779 17.745177)
(xy -6.319214 17.700373) (xy -6.222923 17.644461) (xy -6.110112 17.582392) (xy -5.894159 17.457864)
(xy -5.694275 17.326438) (xy -5.512485 17.189855) (xy -5.350813 17.049856) (xy -5.211284 16.908181)
(xy -5.095923 16.766571) (xy -5.01423 16.640253) (xy -4.967348 16.545139) (xy -4.923055 16.433786)
(xy -4.885511 16.318104) (xy -4.858883 16.21) (xy -4.853684 16.18107) (xy -4.842287 16.035406)
(xy -4.851776 15.875871) (xy -4.881455 15.706783) (xy -4.930629 15.532458) (xy -4.978928 15.403187)
(xy -5.051534 15.248073) (xy -5.140741 15.095338) (xy -5.248774 14.941889) (xy -5.37786 14.784633)
(xy -5.530224 14.620477) (xy -5.573612 14.576598) (xy -5.666387 14.486044) (xy -5.763528 14.39514)
(xy -5.861863 14.30655) (xy -5.95822 14.222935) (xy -6.049427 14.146958) (xy -6.132312 14.081283)
(xy -6.203701 14.028572) (xy -6.260425 13.991487) (xy -6.28787 13.977048) (xy -6.366291 13.938326)
(xy -6.418449 13.903266) (xy -6.443744 13.872324) (xy -6.445897 13.8566) (xy -6.45113 13.84307)
(xy -6.470952 13.820334) (xy -6.507002 13.786963) (xy -6.560915 13.74153) (xy -6.634331 13.682606)
(xy -6.728884 13.608762) (xy -6.738056 13.601668) (xy -7.111006 13.30994) (xy -7.499556 12.999363)
(xy -7.900804 12.672396) (xy -8.311845 12.3315) (xy -8.729778 11.979137) (xy -9.1517 11.617766)
(xy -9.574707 11.249849) (xy -9.995897 10.877845) (xy -10.412367 10.504216) (xy -10.689167 10.252508)
(xy -10.785698 10.163257) (xy -10.891222 10.063955) (xy -11.003429 9.956904) (xy -11.120015 9.844402)
(xy -11.23867 9.728748) (xy -11.357089 9.612243) (xy -11.472963 9.497186) (xy -11.583985 9.385877)
(xy -11.687848 9.280616) (xy -11.782244 9.183701) (xy -11.864867 9.097433) (xy -11.933409 9.024111)
(xy -11.985563 8.966035) (xy -12.01375 8.932333) (xy -12.136774 8.766772) (xy -12.260518 8.582459)
(xy -12.380783 8.386601) (xy -12.493371 8.186402) (xy -12.594081 7.989067) (xy -12.678717 7.801801)
(xy -12.693416 7.766016) (xy -12.725586 7.678884) (xy -12.760481 7.571756) (xy -12.795831 7.452415)
(xy -12.829368 7.328642) (xy -12.85882 7.208219) (xy -12.867712 7.168445) (xy -12.92976 6.829909)
(xy -12.971092 6.483548) (xy -12.991824 6.13333) (xy -12.992069 5.783221) (xy -12.971943 5.43719)
(xy -12.931561 5.099205) (xy -12.871037 4.773232) (xy -12.790488 4.463239) (xy -12.789242 4.459111)
(xy -12.747495 4.330584) (xy -12.701041 4.204285) (xy -12.651363 4.083041) (xy -12.599946 3.969676)
(xy -12.548274 3.867019) (xy -12.49783 3.777894) (xy -12.450099 3.705128) (xy -12.406563 3.651547)
(xy -12.368707 3.619977) (xy -12.344723 3.612445) (xy -12.321476 3.624031) (xy -12.308174 3.659111)
(xy -12.304854 3.718166) (xy -12.311551 3.801678) (xy -12.328302 3.91013) (xy -12.355142 4.044001)
(xy -12.387544 4.184913) (xy -12.423985 4.339902) (xy -12.455093 4.481488) (xy -12.481314 4.613785)
(xy -12.503096 4.740903) (xy -12.520883 4.866955) (xy -12.535122 4.996054) (xy -12.54626 5.132311)
(xy -12.554742 5.279839) (xy -12.561015 5.442749) (xy -12.565524 5.625154) (xy -12.568717 5.831166)
(xy -12.569031 5.857553) (xy -12.570824 6.040457) (xy -12.571524 6.198154) (xy -12.570952 6.333644)
(xy -12.568929 6.449927) (xy -12.565275 6.550003) (xy -12.559811 6.636873) (xy -12.552359 6.713536)
(xy -12.542738 6.782993) (xy -12.53077 6.848245) (xy -12.516276 6.91229) (xy -12.502968 6.963833)
(xy -12.434238 7.190415) (xy -12.354005 7.399389) (xy -12.259597 7.595385) (xy -12.148344 7.783034)
(xy -12.017573 7.966966) (xy -11.864616 8.151811) (xy -11.738916 8.288412) (xy -11.58839 8.438182)
(xy -11.44576 8.564442) (xy -11.311719 8.666702) (xy -11.186959 8.744472) (xy -11.072174 8.797264)
(xy -10.98346 8.822042) (xy -10.93313 8.83003) (xy -10.897908 8.830231) (xy -10.865403 8.821206)
(xy -10.828258 8.80402) (xy -10.756865 8.753641) (xy -10.705818 8.684063) (xy -10.682403 8.62297)
(xy -10.673883 8.576832) (xy -10.665967 8.505728) (xy -10.658808 8.412909) (xy -10.65256 8.301629)
(xy -10.647377 8.17514) (xy -10.643411 8.036694) (xy -10.640816 7.889543) (xy -10.639746 7.736941)
(xy -10.639728 7.718778) (xy -10.635543 7.223623) (xy -10.623148 6.753286) (xy -10.60221 6.305486)
(xy -10.572396 5.877939) (xy -10.533375 5.468364) (xy -10.484813 5.074479) (xy -10.426379 4.694002)
(xy -10.357739 4.32465) (xy -10.278562 3.964141) (xy -10.188515 3.610194) (xy -10.087266 3.260525)
(xy -9.974482 2.912854) (xy -9.914829 2.742319) (xy -9.745341 2.281855) (xy -9.573438 1.840381)
(xy -9.399982 1.419962) (xy -9.225836 1.022662) (xy -9.051865 0.650546) (xy -8.973123 0.490375)
(xy -8.882189 0.310757) (xy -8.796336 0.146581) (xy -8.712293 -0.00781) (xy -8.626788 -0.158073)
(xy -8.536549 -0.309866) (xy -8.438305 -0.468845) (xy -8.328784 -0.640669) (xy -8.240717 -0.776111)
(xy -8.141544 -0.928514) (xy -8.057167 -1.060191) (xy -7.986153 -1.173507) (xy -7.927071 -1.270824)
(xy -7.878486 -1.354508) (xy -7.838967 -1.42692) (xy -7.80708 -1.490426) (xy -7.804805 -1.495215)
(xy -7.787646 -1.533267) (xy -7.773275 -1.570516) (xy -7.761493 -1.609712) (xy -7.7521 -1.653603)
(xy -7.744898 -1.704938) (xy -7.739688 -1.766465) (xy -7.736271 -1.840934) (xy -7.734449 -1.931093)
(xy -7.734023 -2.039691) (xy -7.734793 -2.169477) (xy -7.736561 -2.323199) (xy -7.737903 -2.420055)
(xy -7.740367 -2.67838) (xy -7.739741 -2.911511) (xy -7.735716 -3.122339) (xy -7.727984 -3.313756)
(xy -7.716239 -3.488652) (xy -7.700172 -3.649918) (xy -7.679476 -3.800445) (xy -7.653844 -3.943125)
(xy -7.622968 -4.080848) (xy -7.586541 -4.216505) (xy -7.544255 -4.352986) (xy -7.526755 -4.405171)
(xy -7.49637 -4.491332) (xy -7.465129 -4.573551) (xy -7.431135 -4.655954) (xy -7.392492 -4.742668)
(xy -7.347306 -4.837819) (xy -7.293679 -4.945532) (xy -7.229716 -5.069934) (xy -7.16155 -5.199944)
(xy -6.991681 -5.526476) (xy -6.832386 -5.842061) (xy -6.684174 -6.145505) (xy -6.547554 -6.435616)
(xy -6.423034 -6.7112) (xy -6.311123 -6.971064) (xy -6.21233 -7.214014) (xy -6.127162 -7.438857)
(xy -6.056129 -7.644401) (xy -5.999739 -7.829451) (xy -5.958501 -7.992815) (xy -5.946636 -8.050389)
(xy -5.926998 -8.151945) (xy -5.911326 -8.229449) (xy -5.898653 -8.286637) (xy -5.888012 -8.327245)
(xy -5.878436 -8.355008) (xy -5.86896 -8.373663) (xy -5.85992 -8.385528) (xy -5.822679 -8.407454)
(xy -5.773516 -8.40582) (xy -5.713751 -8.381988) (xy -5.644708 -8.33732) (xy -5.567707 -8.273176)
(xy -5.48407 -8.190919) (xy -5.395119 -8.091911) (xy -5.302175 -7.977513) (xy -5.206559 -7.849087)
(xy -5.109594 -7.707994) (xy -5.0126 -7.555597) (xy -4.945795 -7.443611) (xy -4.852199 -7.293069)
(xy -4.737301 -7.126802) (xy -4.602196 -6.946259) (xy -4.447978 -6.752884) (xy -4.275744 -6.548127)
(xy -4.213999 -6.477) (xy -4.070229 -6.316475) (xy -3.938833 -6.17834) (xy -3.817555 -6.06085)
(xy -3.70414 -5.96226) (xy -3.596334 -5.880825) (xy -3.491882 -5.814799) (xy -3.388527 -5.762438)
(xy -3.284017 -5.721996) (xy -3.210278 -5.700187) (xy -3.13778 -5.686237) (xy -3.042456 -5.675453)
(xy -2.92952 -5.667798) (xy -2.804187 -5.663236) (xy -2.671671 -5.66173) (xy -2.537185 -5.663243)
(xy -2.405944 -5.667739) (xy -2.283163 -5.67518) (xy -2.174055 -5.685531) (xy -2.083834 -5.698753)
(xy -2.039438 -5.708438) (xy -1.938769 -5.739634) (xy -1.83555 -5.782013) (xy -1.727614 -5.836991)
(xy -1.612789 -5.905987) (xy -1.488907 -5.990416) (xy -1.353799 -6.091697) (xy -1.205294 -6.211247)
(xy -1.041223 -6.350483) (xy -0.99501 -6.390729) (xy -0.830977 -6.531914) (xy -0.669126 -6.666162)
(xy -0.505883 -6.796108) (xy -0.337674 -6.924388) (xy -0.160923 -7.053637) (xy 0.027943 -7.186491)
(xy 0.232501 -7.325585) (xy 0.456325 -7.473554) (xy 0.602183 -7.568227) (xy 0.837868 -7.718669)
(xy 1.053863 -7.853012) (xy 1.252639 -7.972634) (xy 1.436666 -8.078911) (xy 1.608414 -8.173222)
(xy 1.770353 -8.256943) (xy 1.924955 -8.331453) (xy 2.074688 -8.398129) (xy 2.137833 -8.424587)
(xy 2.314844 -8.500049) (xy 2.466919 -8.570862) (xy 2.596673 -8.638504) (xy 2.706719 -8.704449)
(xy 2.799671 -8.770173) (xy 2.878144 -8.837152) (xy 2.891651 -8.85016) (xy 2.964119 -8.926456)
(xy 3.016173 -8.994195) (xy 3.05174 -9.059101) (xy 3.070317 -9.110593) (xy 3.084647 -9.189141)
(xy 3.076195 -9.256011) (xy 3.043408 -9.318119) (xy 3.016415 -9.350625) (xy 2.94108 -9.412188)
(xy 2.850853 -9.451193) (xy 2.748388 -9.467188) (xy 2.636338 -9.45972) (xy 2.531607 -9.433278)
(xy 2.479963 -9.416156) (xy 2.442199 -9.40425) (xy 2.419497 -9.398146) (xy 2.413039 -9.398431)
(xy 2.424009 -9.405691) (xy 2.453588 -9.420513) (xy 2.502959 -9.443485) (xy 2.573304 -9.475191)
(xy 2.665806 -9.51622) (xy 2.781647 -9.567157) (xy 2.922009 -9.62859) (xy 2.949222 -9.640481)
(xy 3.121496 -9.716393) (xy 3.270589 -9.783612) (xy 3.399613 -9.843702) (xy 3.511679 -9.89823)
(xy 3.609897 -9.948761) (xy 3.697379 -9.99686) (xy 3.777235 -10.044093) (xy 3.852577 -10.092026)
(xy 3.87151 -10.104595) (xy 3.933704 -10.145044) (xy 3.982715 -10.17455) (xy 4.015587 -10.191476)
(xy 4.029361 -10.194188) (xy 4.029266 -10.191824)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -6.301074 14.367468) (xy -6.274336 14.411089) (xy -6.258948 14.468301) (xy -6.254924 14.54166)
(xy -6.262276 14.633723) (xy -6.281019 14.747045) (xy -6.303578 14.851945) (xy -6.327694 14.948296)
(xy -6.349715 15.018119) (xy -6.370562 15.062945) (xy -6.391159 15.084305) (xy -6.412429 15.083731)
(xy -6.432638 15.066057) (xy -6.465148 15.011531) (xy -6.485399 14.939346) (xy -6.494242 14.845888)
(xy -6.494782 14.802556) (xy -6.487858 14.685139) (xy -6.46939 14.576743) (xy -6.44078 14.482141)
(xy -6.403431 14.406105) (xy -6.364278 14.358188) (xy -6.333218 14.330098) (xy -6.301074 14.367468)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 6.777349 5.380363) (xy 6.780123 5.411723) (xy 6.768857 5.460513) (xy 6.744626 5.523892)
(xy 6.708504 5.599014) (xy 6.661565 5.683038) (xy 6.609563 5.766042) (xy 6.476655 5.954426)
(xy 6.324997 6.145873) (xy 6.158999 6.335876) (xy 5.983075 6.519924) (xy 5.801636 6.693508)
(xy 5.619096 6.852118) (xy 5.439865 6.991246) (xy 5.348111 7.055283) (xy 5.294861 7.091688)
(xy 5.242815 7.128599) (xy 5.203092 7.158128) (xy 5.202556 7.158545) (xy 5.148723 7.200489)
(xy 5.1955 7.249504) (xy 5.227348 7.281132) (xy 5.279851 7.330967) (xy 5.352502 7.398547)
(xy 5.44479 7.483411) (xy 5.556207 7.585095) (xy 5.686244 7.703138) (xy 5.834392 7.837079)
(xy 6.00014 7.986455) (xy 6.182982 8.150805) (xy 6.382406 8.329666) (xy 6.597904 8.522577)
(xy 6.653388 8.572193) (xy 6.830582 8.730645) (xy 6.988221 8.871689) (xy 7.127816 8.996701)
(xy 7.25088 9.107052) (xy 7.358923 9.204117) (xy 7.453459 9.289269) (xy 7.535998 9.363881)
(xy 7.608052 9.429326) (xy 7.671132 9.486978) (xy 7.726751 9.538211) (xy 7.77642 9.584397)
(xy 7.821651 9.62691) (xy 7.863956 9.667124) (xy 7.904845 9.706411) (xy 7.945831 9.746145)
(xy 7.949589 9.749802) (xy 8.029288 9.829264) (xy 8.094274 9.89795) (xy 8.142894 9.953956)
(xy 8.173499 9.995378) (xy 8.184437 10.020309) (xy 8.184444 10.020719) (xy 8.173386 10.031925)
(xy 8.14657 10.050018) (xy 8.113533 10.069369) (xy 8.083811 10.084349) (xy 8.068013 10.089445)
(xy 8.056579 10.080564) (xy 8.026626 10.055492) (xy 7.980911 10.016585) (xy 7.922186 9.966199)
(xy 7.853206 9.90669) (xy 7.776726 9.840414) (xy 7.766944 9.831917) (xy 7.643187 9.724083)
(xy 7.509716 9.60722) (xy 7.367899 9.482557) (xy 7.219104 9.351322) (xy 7.064699 9.214746)
(xy 6.906051 9.074058) (xy 6.74453 8.930487) (xy 6.581502 8.785263) (xy 6.418337 8.639615)
(xy 6.256401 8.494772) (xy 6.097064 8.351964) (xy 5.941693 8.212421) (xy 5.791656 8.077371)
(xy 5.648321 7.948045) (xy 5.513057 7.825671) (xy 5.387231 7.711479) (xy 5.272212 7.606699)
(xy 5.169367 7.512559) (xy 5.080065 7.43029) (xy 5.005673 7.361121) (xy 4.94756 7.30628)
(xy 4.907093 7.266998) (xy 4.885642 7.244504) (xy 4.882444 7.239722) (xy 4.893634 7.22643)
(xy 4.924918 7.200093) (xy 4.972867 7.163261) (xy 5.034054 7.118486) (xy 5.10505 7.068316)
(xy 5.182426 7.015302) (xy 5.20044 7.003192) (xy 5.372552 6.879963) (xy 5.544349 6.740683)
(xy 5.717379 6.583763) (xy 5.89319 6.407612) (xy 6.07333 6.210639) (xy 6.259347 5.991254)
(xy 6.45279 5.747867) (xy 6.561906 5.604534) (xy 6.615745 5.534108) (xy 6.66499 5.472102)
(xy 6.706825 5.421871) (xy 6.73843 5.386771) (xy 6.756987 5.370159) (xy 6.759462 5.369278)
(xy 6.777349 5.380363)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 8.749236 -3.586681) (xy 8.810751 -3.569759) (xy 8.888639 -3.534129) (xy 8.980114 -3.481804)
(xy 9.082389 -3.414798) (xy 9.192679 -3.335126) (xy 9.308196 -3.2448) (xy 9.426156 -3.145835)
(xy 9.543771 -3.040245) (xy 9.658255 -2.930043) (xy 9.659687 -2.928613) (xy 9.867191 -2.710997)
(xy 10.072427 -2.475017) (xy 10.276101 -2.219597) (xy 10.478922 -1.943663) (xy 10.681599 -1.646143)
(xy 10.88484 -1.32596) (xy 11.089352 -0.982042) (xy 11.295843 -0.613313) (xy 11.505023 -0.218701)
(xy 11.697559 0.162278) (xy 11.858246 0.493959) (xy 12.002183 0.806264) (xy 12.130207 1.101892)
(xy 12.243157 1.383537) (xy 12.341872 1.653895) (xy 12.427189 1.915664) (xy 12.499946 2.17154)
(xy 12.560982 2.424218) (xy 12.611136 2.676395) (xy 12.651244 2.930768) (xy 12.682145 3.190032)
(xy 12.699469 3.385201) (xy 12.709442 3.543048) (xy 12.717003 3.721106) (xy 12.72205 3.911459)
(xy 12.72448 4.106191) (xy 12.724192 4.297385) (xy 12.721083 4.477126) (xy 12.715503 4.628445)
(xy 12.70564 4.808283) (xy 12.694036 4.975004) (xy 12.680039 5.13262) (xy 12.662997 5.285146)
(xy 12.642259 5.436594) (xy 12.617172 5.590978) (xy 12.587085 5.752312) (xy 12.551347 5.92461)
(xy 12.509304 6.111884) (xy 12.460306 6.318148) (xy 12.404139 6.545668) (xy 12.374291 6.666179)
(xy 12.344561 6.788579) (xy 12.316244 6.907374) (xy 12.290631 7.017067) (xy 12.269015 7.112163)
(xy 12.252689 7.187166) (xy 12.248804 7.205971) (xy 12.231719 7.29897) (xy 12.218727 7.387393)
(xy 12.210108 7.46717) (xy 12.20614 7.534235) (xy 12.2071 7.584522) (xy 12.213268 7.613962)
(xy 12.220478 7.62) (xy 12.237876 7.624934) (xy 12.276762 7.638574) (xy 12.332407 7.659181)
(xy 12.400084 7.685016) (xy 12.449784 7.704375) (xy 12.694262 7.812422) (xy 12.936634 7.942779)
(xy 13.171096 8.09164) (xy 13.391844 8.255204) (xy 13.593075 8.429665) (xy 13.667635 8.502493)
(xy 13.745859 8.585952) (xy 13.800531 8.653991) (xy 13.831664 8.70672) (xy 13.83927 8.74425)
(xy 13.823363 8.766692) (xy 13.783954 8.774155) (xy 13.721058 8.766751) (xy 13.697629 8.761651)
(xy 13.670535 8.753009) (xy 13.621403 8.735057) (xy 13.554242 8.709353) (xy 13.473062 8.677453)
(xy 13.381872 8.640915) (xy 13.28468 8.601294) (xy 13.284132 8.601069) (xy 13.070107 8.514455)
(xy 12.877959 8.439921) (xy 12.704478 8.376619) (xy 12.546453 8.323702) (xy 12.400675 8.280323)
(xy 12.263934 8.245633) (xy 12.133018 8.218785) (xy 12.004718 8.198931) (xy 11.875824 8.185225)
(xy 11.743126 8.176818) (xy 11.667204 8.174141) (xy 11.546861 8.171978) (xy 11.438192 8.172627)
(xy 11.334902 8.176583) (xy 11.230694 8.184342) (xy 11.119273 8.196399) (xy 10.994342 8.213251)
(xy 10.849605 8.235393) (xy 10.811607 8.241487) (xy 10.553048 8.283239) (xy 10.483634 8.20729)
(xy 10.43189 8.149571) (xy 10.3844 8.094586) (xy 10.344615 8.046532) (xy 10.315984 8.009609)
(xy 10.301956 7.988013) (xy 10.301111 7.98515) (xy 10.30694 7.977532) (xy 10.326471 7.970276)
(xy 10.362768 7.962837) (xy 10.418896 7.95467) (xy 10.497919 7.945232) (xy 10.569647 7.937455)
(xy 10.691153 7.923256) (xy 10.789989 7.908226) (xy 10.8717 7.891069) (xy 10.941835 7.870491)
(xy 11.00594 7.845198) (xy 11.045384 7.826432) (xy 11.201167 7.732409) (xy 11.346214 7.613332)
(xy 11.480307 7.470337) (xy 11.603227 7.304558) (xy 11.714759 7.117131) (xy 11.814683 6.909191)
(xy 11.902782 6.681874) (xy 11.978839 6.436313) (xy 12.042637 6.173644) (xy 12.093957 5.895003)
(xy 12.132582 5.601524) (xy 12.158295 5.294343) (xy 12.170877 4.974594) (xy 12.170112 4.643413)
(xy 12.155781 4.301935) (xy 12.127667 3.951295) (xy 12.085553 3.592627) (xy 12.029221 3.227068)
(xy 11.978949 2.956278) (xy 11.877488 2.499745) (xy 11.755713 2.045773) (xy 11.615465 1.600329)
(xy 11.458585 1.169379) (xy 11.334818 0.867833) (xy 11.241634 0.660864) (xy 11.132291 0.433849)
(xy 11.00805 0.188945) (xy 10.870173 -0.07169) (xy 10.719922 -0.345898) (xy 10.558556 -0.631522)
(xy 10.387339 -0.926403) (xy 10.207531 -1.228384) (xy 10.020394 -1.535308) (xy 9.827189 -1.845016)
(xy 9.629178 -2.155352) (xy 9.427622 -2.464156) (xy 9.223782 -2.769272) (xy 9.115777 -2.927984)
(xy 9.018688 -3.070057) (xy 8.936877 -3.190697) (xy 8.869416 -3.291575) (xy 8.815375 -3.374363)
(xy 8.773823 -3.440731) (xy 8.743832 -3.49235) (xy 8.724471 -3.53089) (xy 8.71481 -3.558023)
(xy 8.71392 -3.57542) (xy 8.72087 -3.584751) (xy 8.734732 -3.587687) (xy 8.749236 -3.586681)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 6.323107 3.381588) (xy 6.341241 3.403429) (xy 6.376083 3.481804) (xy 6.389107 3.57691)
(xy 6.381987 3.679343) (xy 6.359973 3.794428) (xy 6.325703 3.928708) (xy 6.280991 4.07691)
(xy 6.227649 4.233764) (xy 6.16749 4.393998) (xy 6.102328 4.552341) (xy 6.033975 4.703522)
(xy 6.019117 4.734418) (xy 5.942251 4.884383) (xy 5.86208 5.023518) (xy 5.775343 5.1562)
(xy 5.678783 5.286804) (xy 5.56914 5.419707) (xy 5.443154 5.559283) (xy 5.297567 5.709909)
(xy 5.277835 5.729726) (xy 5.132041 5.872455) (xy 4.998325 5.995822) (xy 4.872139 6.103265)
(xy 4.748932 6.198226) (xy 4.624155 6.284146) (xy 4.493259 6.364464) (xy 4.351694 6.442622)
(xy 4.298872 6.470058) (xy 4.20201 6.518728) (xy 4.117492 6.558624) (xy 4.037475 6.592913)
(xy 3.954115 6.62476) (xy 3.859566 6.657332) (xy 3.745985 6.693795) (xy 3.739444 6.695846)
(xy 3.646501 6.726222) (xy 3.579469 6.752226) (xy 3.536844 6.7762) (xy 3.517124 6.800486)
(xy 3.518808 6.827425) (xy 3.540394 6.859358) (xy 3.580377 6.898627) (xy 3.598884 6.914922)
(xy 3.648507 6.962643) (xy 3.673742 6.997538) (xy 3.675232 7.018424) (xy 3.653619 7.024118)
(xy 3.609547 7.013438) (xy 3.557172 6.991665) (xy 3.505097 6.964271) (xy 3.445446 6.928112)
(xy 3.382886 6.886619) (xy 3.322084 6.843221) (xy 3.267708 6.801348) (xy 3.224424 6.76443)
(xy 3.196901 6.735896) (xy 3.189365 6.721379) (xy 3.202143 6.710563) (xy 3.23673 6.6973)
(xy 3.287101 6.683807) (xy 3.298472 6.681289) (xy 3.537137 6.624779) (xy 3.753259 6.561463)
(xy 3.952164 6.488991) (xy 4.139176 6.405013) (xy 4.319621 6.307179) (xy 4.498823 6.193141)
(xy 4.649611 6.085115) (xy 4.865208 5.912507) (xy 5.071562 5.725534) (xy 5.266767 5.526809)
(xy 5.448919 5.318945) (xy 5.616113 5.104556) (xy 5.766444 4.886256) (xy 5.898009 4.666656)
(xy 6.008902 4.448372) (xy 6.097219 4.234015) (xy 6.161055 4.026199) (xy 6.166517 4.003781)
(xy 6.179103 3.944791) (xy 6.193633 3.867388) (xy 6.208402 3.781148) (xy 6.221706 3.695643)
(xy 6.223052 3.686379) (xy 6.240462 3.57398) (xy 6.256737 3.488236) (xy 6.272508 3.427637)
(xy 6.288405 3.39067) (xy 6.305061 3.375824) (xy 6.323107 3.381588)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 5.139571 2.662302) (xy 5.235534 2.668279) (xy 5.315653 2.679014) (xy 5.348111 2.686388)
(xy 5.475111 2.732463) (xy 5.591651 2.796178) (xy 5.693025 2.874105) (xy 5.774527 2.962815)
(xy 5.816122 3.02741) (xy 5.851112 3.10228) (xy 5.875968 3.180596) (xy 5.891888 3.268651)
(xy 5.900067 3.372742) (xy 5.901827 3.478389) (xy 5.88737 3.706802) (xy 5.845763 3.938747)
(xy 5.777564 4.172398) (xy 5.683331 4.405927) (xy 5.563623 4.637506) (xy 5.530555 4.693601)
(xy 5.452421 4.811708) (xy 5.354506 4.941644) (xy 5.240532 5.079612) (xy 5.114222 5.221816)
(xy 4.979296 5.36446) (xy 4.839477 5.503747) (xy 4.698486 5.635881) (xy 4.560045 5.757065)
(xy 4.427875 5.863503) (xy 4.305699 5.951399) (xy 4.282722 5.966463) (xy 4.145395 6.047224)
(xy 3.99264 6.123855) (xy 3.831825 6.193394) (xy 3.670321 6.252878) (xy 3.515498 6.299346)
(xy 3.38129 6.32874) (xy 3.286479 6.340957) (xy 3.187078 6.347145) (xy 3.091973 6.34719)
(xy 3.010047 6.340976) (xy 2.970388 6.334074) (xy 2.911062 6.314746) (xy 2.838148 6.282827)
(xy 2.760448 6.242908) (xy 2.686766 6.199575) (xy 2.625904 6.157418) (xy 2.615526 6.149101)
(xy 2.504509 6.040404) (xy 2.418112 5.918485) (xy 2.356293 5.78318) (xy 2.319013 5.634325)
(xy 2.306232 5.471755) (xy 2.31791 5.295305) (xy 2.354007 5.104811) (xy 2.358431 5.087056)
(xy 2.372326 5.038231) (xy 2.392343 4.975542) (xy 2.416532 4.904313) (xy 2.442943 4.829866)
(xy 2.469628 4.757523) (xy 2.494635 4.692607) (xy 2.516017 4.64044) (xy 2.531822 4.606345)
(xy 2.538139 4.596438) (xy 2.554838 4.598627) (xy 2.588656 4.617275) (xy 2.635926 4.649745)
(xy 2.692982 4.693407) (xy 2.756159 4.745624) (xy 2.803014 4.786713) (xy 2.943163 4.906399)
(xy 3.081067 5.011994) (xy 3.214164 5.101968) (xy 3.339893 5.174792) (xy 3.455689 5.228935)
(xy 3.558991 5.262868) (xy 3.618229 5.273363) (xy 3.715921 5.271024) (xy 3.82502 5.246408)
(xy 3.942089 5.20124) (xy 4.063694 5.137247) (xy 4.186398 5.056155) (xy 4.306765 4.95969)
(xy 4.382186 4.8895) (xy 4.525183 4.73256) (xy 4.647103 4.563485) (xy 4.752815 4.375494)
(xy 4.755965 4.369131) (xy 4.812289 4.247079) (xy 4.851228 4.142467) (xy 4.873321 4.050935)
(xy 4.879104 3.968123) (xy 4.869117 3.889671) (xy 4.843897 3.811217) (xy 4.828977 3.777399)
(xy 4.801883 3.724798) (xy 4.769216 3.671427) (xy 4.728544 3.614266) (xy 4.677437 3.550294)
(xy 4.613464 3.476493) (xy 4.534194 3.389842) (xy 4.437195 3.287321) (xy 4.420393 3.269791)
(xy 4.332717 3.177668) (xy 4.263915 3.102942) (xy 4.212737 3.043222) (xy 4.177929 2.996119)
(xy 4.158242 2.959246) (xy 4.152424 2.930214) (xy 4.159222 2.906632) (xy 4.177385 2.886114)
(xy 4.205661 2.866269) (xy 4.208165 2.864735) (xy 4.241634 2.848674) (xy 4.296612 2.827067)
(xy 4.367378 2.801782) (xy 4.44821 2.774687) (xy 4.533387 2.747649) (xy 4.617185 2.722537)
(xy 4.693885 2.701219) (xy 4.755444 2.686074) (xy 4.835701 2.672971) (xy 4.931746 2.664644)
(xy 5.035673 2.661089) (xy 5.139571 2.662302)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -10.332458 -1.431891) (xy -10.269754 -1.386035) (xy -10.238909 -1.350064) (xy -10.22192 -1.310022)
(xy -10.211389 -1.248653) (xy -10.20728 -1.172091) (xy -10.209553 -1.086474) (xy -10.218174 -0.997936)
(xy -10.233103 -0.912615) (xy -10.24179 -0.877195) (xy -10.263039 -0.805757) (xy -10.287357 -0.739919)
(xy -10.316894 -0.676545) (xy -10.353798 -0.612501) (xy -10.400221 -0.544652) (xy -10.458312 -0.469863)
(xy -10.530219 -0.384999) (xy -10.618095 -0.286925) (xy -10.724087 -0.172506) (xy -10.727567 -0.16879)
(xy -10.845248 -0.045215) (xy -10.94709 0.057097) (xy -11.034491 0.139228) (xy -11.108851 0.202261)
(xy -11.171571 0.247277) (xy -11.224049 0.27536) (xy -11.267685 0.287591) (xy -11.303879 0.285053)
(xy -11.311207 0.28253) (xy -11.347635 0.258165) (xy -11.382327 0.219628) (xy -11.407584 0.177072)
(xy -11.415889 0.144484) (xy -11.410941 0.108968) (xy -11.394905 0.06717) (xy -11.365994 0.016247)
(xy -11.322421 -0.046645) (xy -11.262398 -0.124348) (xy -11.195587 -0.205994) (xy -11.108195 -0.313156)
(xy -11.030343 -0.413112) (xy -10.964535 -0.50247) (xy -10.913276 -0.577838) (xy -10.880949 -0.632225)
(xy -10.871485 -0.656098) (xy -10.855981 -0.701987) (xy -10.836077 -0.76475) (xy -10.813409 -0.839245)
(xy -10.79416 -0.904613) (xy -10.759163 -1.021619) (xy -10.728457 -1.115288) (xy -10.700254 -1.189842)
(xy -10.672762 -1.249502) (xy -10.644191 -1.298491) (xy -10.612751 -1.341029) (xy -10.605362 -1.349836)
(xy -10.539607 -1.410168) (xy -10.470277 -1.443956) (xy -10.400263 -1.451198) (xy -10.332458 -1.431891)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 6.043159 -10.239738) (xy 6.064831 -10.227564) (xy 6.102723 -10.199429) (xy 6.152562 -10.158779)
(xy 6.210075 -10.109058) (xy 6.251108 -10.072081) (xy 6.375393 -9.959613) (xy 6.48482 -9.864349)
(xy 6.584081 -9.783142) (xy 6.677868 -9.712841) (xy 6.770873 -9.650298) (xy 6.867788 -9.592363)
(xy 6.973304 -9.535887) (xy 7.092115 -9.477722) (xy 7.228911 -9.414718) (xy 7.233874 -9.41248)
(xy 7.379313 -9.344335) (xy 7.49958 -9.281605) (xy 7.596732 -9.222298) (xy 7.67283 -9.164419)
(xy 7.729933 -9.105976) (xy 7.770099 -9.044976) (xy 7.795389 -8.979424) (xy 7.807861 -8.907327)
(xy 7.810072 -8.854722) (xy 7.80562 -8.774353) (xy 7.791037 -8.693172) (xy 7.764619 -8.605098)
(xy 7.724662 -8.504047) (xy 7.690698 -8.428686) (xy 7.632323 -8.312862) (xy 7.576817 -8.223536)
(xy 7.522163 -8.159411) (xy 7.466346 -8.119188) (xy 7.407348 -8.101571) (xy 7.343154 -8.10526)
(xy 7.271746 -8.128959) (xy 7.244781 -8.141688) (xy 7.182001 -8.177814) (xy 7.103012 -8.230449)
(xy 7.011816 -8.296401) (xy 6.912415 -8.372479) (xy 6.80881 -8.455492) (xy 6.705002 -8.54225)
(xy 6.604992 -8.629561) (xy 6.512783 -8.714234) (xy 6.448662 -8.776582) (xy 6.375153 -8.854816)
(xy 6.312341 -8.932793) (xy 6.256388 -9.016581) (xy 6.203455 -9.112244) (xy 6.149703 -9.225851)
(xy 6.11796 -9.299222) (xy 6.046436 -9.486326) (xy 5.99296 -9.664998) (xy 5.958253 -9.832126)
(xy 5.943036 -9.984597) (xy 5.942955 -10.054153) (xy 5.9462 -10.119227) (xy 5.951174 -10.162686)
(xy 5.9593 -10.191133) (xy 5.972005 -10.211174) (xy 5.978299 -10.217927) (xy 6.012757 -10.240182)
(xy 6.043159 -10.239738)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -4.286419 -17.854667) (xy -4.149705 -17.832658) (xy -4.088154 -17.816782) (xy -3.905358 -17.747846)
(xy -3.735437 -17.654221) (xy -3.578888 -17.536583) (xy -3.436209 -17.395607) (xy -3.307898 -17.231967)
(xy -3.194452 -17.046339) (xy -3.096369 -16.839397) (xy -3.014146 -16.611817) (xy -2.948281 -16.364273)
(xy -2.921934 -16.234833) (xy -2.901425 -16.116153) (xy -2.883379 -15.994953) (xy -2.867446 -15.86753)
(xy -2.853281 -15.73018) (xy -2.840533 -15.579198) (xy -2.828856 -15.41088) (xy -2.817902 -15.221522)
(xy -2.807965 -15.021278) (xy -2.800067 -14.86615) (xy -2.791805 -14.736995) (xy -2.782772 -14.631564)
(xy -2.772556 -14.547607) (xy -2.760749 -14.482876) (xy -2.746942 -14.43512) (xy -2.730723 -14.402092)
(xy -2.711685 -14.381542) (xy -2.689608 -14.371267) (xy -2.657812 -14.365319) (xy -2.608481 -14.358271)
(xy -2.552183 -14.351629) (xy -2.551095 -14.351515) (xy -2.448278 -14.340721) (xy -2.547056 -14.338689)
(xy -2.728934 -14.327663) (xy -2.903604 -14.302904) (xy -3.06532 -14.265562) (xy -3.20834 -14.216788)
(xy -3.252553 -14.197399) (xy -3.335443 -14.155763) (xy -3.415802 -14.108986) (xy -3.499232 -14.053332)
(xy -3.591335 -13.985067) (xy -3.697112 -13.900945) (xy -3.845278 -13.780222) (xy -3.732389 -13.893617)
(xy -3.644737 -13.989893) (xy -3.576093 -14.085711) (xy -3.523714 -14.187084) (xy -3.484856 -14.300027)
(xy -3.456777 -14.430554) (xy -3.442957 -14.528071) (xy -3.433256 -14.675192) (xy -3.440049 -14.823674)
(xy -3.464101 -14.976796) (xy -3.506178 -15.137838) (xy -3.567045 -15.31008) (xy -3.647468 -15.4968)
(xy -3.689899 -15.585722) (xy -3.760119 -15.723515) (xy -3.825625 -15.839392) (xy -3.889825 -15.938465)
(xy -3.956126 -16.025845) (xy -4.027936 -16.106646) (xy -4.056743 -16.136055) (xy -4.179075 -16.241876)
(xy -4.306691 -16.320369) (xy -4.440087 -16.371599) (xy -4.579758 -16.395628) (xy -4.7262 -16.392521)
(xy -4.879909 -16.362339) (xy -5.041379 -16.305147) (xy -5.115278 -16.271313) (xy -5.260189 -16.190947)
(xy -5.38113 -16.101904) (xy -5.479388 -16.001962) (xy -5.556253 -15.888897) (xy -5.61301 -15.760486)
(xy -5.650949 -15.614506) (xy -5.671358 -15.448734) (xy -5.675934 -15.3035) (xy -5.66342 -15.062761)
(xy -5.627455 -14.821184) (xy -5.569427 -14.584229) (xy -5.490723 -14.357353) (xy -5.392731 -14.146016)
(xy -5.372091 -14.108148) (xy -5.305943 -14.014094) (xy -5.216063 -13.923092) (xy -5.106935 -13.838707)
(xy -4.983043 -13.764507) (xy -4.878917 -13.715943) (xy -4.833684 -13.695836) (xy -4.80915 -13.679253)
(xy -4.799249 -13.660757) (xy -4.797778 -13.64317) (xy -4.805407 -13.593245) (xy -4.826666 -13.525477)
(xy -4.859111 -13.445223) (xy -4.9003 -13.357838) (xy -4.947791 -13.268678) (xy -4.999141 -13.183099)
(xy -5.026198 -13.142312) (xy -5.096705 -13.054365) (xy -5.167805 -12.994488) (xy -5.239827 -12.96253)
(xy -5.313103 -12.958341) (xy -5.383389 -12.979573) (xy -5.455983 -13.023129) (xy -5.524579 -13.084735)
(xy -5.591082 -13.166788) (xy -5.657397 -13.271681) (xy -5.725429 -13.40181) (xy -5.734856 -13.421457)
(xy -5.823516 -13.631255) (xy -5.901733 -13.865834) (xy -5.969594 -14.125554) (xy -6.02719 -14.410775)
(xy -6.074609 -14.721856) (xy -6.091684 -14.861825) (xy -6.100297 -14.949347) (xy -6.106901 -15.044543)
(xy -6.111668 -15.151903) (xy -6.114768 -15.275916) (xy -6.116374 -15.421072) (xy -6.116687 -15.515167)
(xy -6.116257 -15.668119) (xy -6.114286 -15.797884) (xy -6.110298 -15.909477) (xy -6.103817 -16.007914)
(xy -6.094368 -16.098209) (xy -6.081475 -16.185377) (xy -6.064663 -16.274435) (xy -6.043455 -16.370396)
(xy -6.030377 -16.425333) (xy -5.963479 -16.651531) (xy -5.876663 -16.863042) (xy -5.771256 -17.058438)
(xy -5.648587 -17.236295) (xy -5.509985 -17.395184) (xy -5.356779 -17.533679) (xy -5.190297 -17.650354)
(xy -5.011867 -17.743781) (xy -4.822819 -17.812535) (xy -4.7133 -17.839626) (xy -4.578561 -17.858529)
(xy -4.432819 -17.863417) (xy -4.286419 -17.854667)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 1.593604 -18.142597) (xy 1.7425 -18.136663) (xy 1.874987 -18.127421) (xy 2.000371 -18.113726)
(xy 2.127957 -18.094432) (xy 2.267053 -18.068394) (xy 2.368131 -18.047292) (xy 2.591249 -17.992861)
(xy 2.791299 -17.929539) (xy 2.970471 -17.855363) (xy 3.130956 -17.768374) (xy 3.274942 -17.66661)
(xy 3.404622 -17.548111) (xy 3.522184 -17.410916) (xy 3.629819 -17.253064) (xy 3.729718 -17.072595)
(xy 3.824069 -16.867547) (xy 3.900405 -16.675508) (xy 3.953592 -16.527953) (xy 4.007687 -16.367535)
(xy 4.061309 -16.199096) (xy 4.11308 -16.027478) (xy 4.16162 -15.857523) (xy 4.205548 -15.694073)
(xy 4.243487 -15.541971) (xy 4.274056 -15.406058) (xy 4.295875 -15.291177) (xy 4.297315 -15.282333)
(xy 4.30623 -15.213573) (xy 4.313603 -15.127095) (xy 4.319572 -15.020354) (xy 4.324275 -14.890809)
(xy 4.327852 -14.735915) (xy 4.328221 -14.714983) (xy 4.329939 -14.490173) (xy 4.326612 -14.290941)
(xy 4.317747 -14.115013) (xy 4.302852 -13.960113) (xy 4.281433 -13.823967) (xy 4.252996 -13.704301)
(xy 4.217049 -13.59884) (xy 4.173099 -13.505308) (xy 4.120653 -13.421432) (xy 4.059217 -13.344937)
(xy 4.022917 -13.306685) (xy 3.958549 -13.248589) (xy 3.897513 -13.209814) (xy 3.830876 -13.186586)
(xy 3.749702 -13.175134) (xy 3.70582 -13.172809) (xy 3.641749 -13.171469) (xy 3.582575 -13.171785)
(xy 3.538178 -13.173653) (xy 3.527777 -13.174677) (xy 3.451155 -13.191142) (xy 3.364201 -13.220535)
(xy 3.27329 -13.259598) (xy 3.184793 -13.305076) (xy 3.105084 -13.353711) (xy 3.040536 -13.402247)
(xy 2.999561 -13.444623) (xy 2.9818 -13.475182) (xy 2.970798 -13.51222) (xy 2.966948 -13.558305)
(xy 2.970642 -13.616006) (xy 2.982273 -13.687892) (xy 3.002234 -13.776532) (xy 3.030918 -13.884495)
(xy 3.068717 -14.014349) (xy 3.104718 -14.132278) (xy 3.161574 -14.336365) (xy 3.205591 -14.538152)
(xy 3.235162 -14.729655) (xy 3.244573 -14.826199) (xy 3.249641 -15.041365) (xy 3.231464 -15.240605)
(xy 3.189951 -15.424134) (xy 3.125008 -15.592167) (xy 3.036543 -15.744917) (xy 2.924463 -15.882599)
(xy 2.788676 -16.005429) (xy 2.710507 -16.062259) (xy 2.557833 -16.152514) (xy 2.392668 -16.226692)
(xy 2.218767 -16.284449) (xy 2.039886 -16.325439) (xy 1.859781 -16.349317) (xy 1.682206 -16.355738)
(xy 1.510917 -16.344358) (xy 1.349671 -16.31483) (xy 1.202222 -16.26681) (xy 1.090444 -16.211135)
(xy 0.984146 -16.135469) (xy 0.87787 -16.037279) (xy 0.776241 -15.921886) (xy 0.683882 -15.794612)
(xy 0.605417 -15.660778) (xy 0.595977 -15.642167) (xy 0.523612 -15.473548) (xy 0.466112 -15.291177)
(xy 0.423719 -15.099542) (xy 0.396674 -14.903134) (xy 0.385219 -14.706441) (xy 0.389595 -14.513954)
(xy 0.410046 -14.33016) (xy 0.446811 -14.159551) (xy 0.500134 -14.006615) (xy 0.507045 -13.99101)
(xy 0.58896 -13.84168) (xy 0.696387 -13.699221) (xy 0.829945 -13.562991) (xy 0.990251 -13.432344)
(xy 1.143 -13.328358) (xy 1.188702 -13.298059) (xy 1.214143 -13.277956) (xy 1.21759 -13.269536)
(xy 1.210815 -13.270105) (xy 1.185642 -13.280733) (xy 1.142414 -13.30407) (xy 1.08647 -13.337039)
(xy 1.023149 -13.376565) (xy 0.999148 -13.392086) (xy 0.883743 -13.463104) (xy 0.748799 -13.538954)
(xy 0.601683 -13.615951) (xy 0.449762 -13.690408) (xy 0.300403 -13.758641) (xy 0.160974 -13.816962)
(xy 0.112888 -13.835474) (xy 0.033619 -13.863958) (xy -0.064496 -13.897381) (xy -0.173141 -13.933017)
(xy -0.284001 -13.96814) (xy -0.38876 -14.000025) (xy -0.392052 -14.001001) (xy -0.489443 -14.03009)
(xy -0.562209 -14.052533) (xy -0.612896 -14.069314) (xy -0.64405 -14.081418) (xy -0.658216 -14.089832)
(xy -0.657942 -14.095538) (xy -0.646391 -14.099398) (xy -0.606787 -14.111251) (xy -0.579301 -14.130713)
(xy -0.562262 -14.162133) (xy -0.553998 -14.209864) (xy -0.552837 -14.278257) (xy -0.555153 -14.336889)
(xy -0.559697 -14.411872) (xy -0.566304 -14.504475) (xy -0.574176 -14.604239) (xy -0.582515 -14.700707)
(xy -0.584754 -14.724944) (xy -0.592936 -14.831941) (xy -0.599152 -14.953958) (xy -0.603352 -15.08483)
(xy -0.605486 -15.218395) (xy -0.605504 -15.348487) (xy -0.603354 -15.468942) (xy -0.598988 -15.573598)
(xy -0.592356 -15.656278) (xy -0.563529 -15.850577) (xy -0.519816 -16.059378) (xy -0.463546 -16.274819)
(xy -0.397047 -16.489036) (xy -0.322647 -16.694166) (xy -0.242675 -16.882347) (xy -0.207953 -16.9545)
(xy -0.09867 -17.153639) (xy 0.024811 -17.344869) (xy 0.157889 -17.521643) (xy 0.295963 -17.677412)
(xy 0.310174 -17.69189) (xy 0.431968 -17.807098) (xy 0.551284 -17.902938) (xy 0.671849 -17.980717)
(xy 0.797388 -18.041744) (xy 0.93163 -18.087329) (xy 1.0783 -18.11878) (xy 1.241126 -18.137406)
(xy 1.423835 -18.144515) (xy 1.593604 -18.142597)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -0.822267 -14.133748) (xy -0.826475 -14.127334) (xy -0.840788 -14.126336) (xy -0.855845 -14.129782)
(xy -0.849313 -14.134862) (xy -0.827259 -14.136544) (xy -0.822267 -14.133748)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -0.879593 -14.148741) (xy -0.88153 -14.140352) (xy -0.889 -14.139333) (xy -0.900616 -14.144496)
(xy -0.898408 -14.148741) (xy -0.881661 -14.15043) (xy -0.879593 -14.148741)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -4.395164 -15.875483) (xy -4.352598 -15.853387) (xy -4.307469 -15.812676) (xy -4.257041 -15.754273)
(xy -4.189585 -15.65724) (xy -4.126572 -15.537472) (xy -4.067062 -15.392998) (xy -4.02395 -15.266577)
(xy -3.969901 -15.082913) (xy -3.930873 -14.92092) (xy -3.906903 -14.780967) (xy -3.898023 -14.663424)
(xy -3.904269 -14.56866) (xy -3.925675 -14.497045) (xy -3.948732 -14.461955) (xy -3.994623 -14.429169)
(xy -4.053408 -14.411968) (xy -4.112555 -14.413691) (xy -4.121305 -14.415974) (xy -4.132587 -14.428279)
(xy -4.145565 -14.460348) (xy -4.160962 -14.514541) (xy -4.1795 -14.59322) (xy -4.189066 -14.637271)
(xy -4.226764 -14.803079) (xy -4.264381 -14.944076) (xy -4.303317 -15.063634) (xy -4.344975 -15.165124)
(xy -4.390756 -15.251919) (xy -4.44206 -15.32739) (xy -4.500288 -15.39491) (xy -4.506604 -15.401414)
(xy -4.562178 -15.459767) (xy -4.600192 -15.50598) (xy -4.623935 -15.546932) (xy -4.636697 -15.589502)
(xy -4.641768 -15.640569) (xy -4.642503 -15.685568) (xy -4.641623 -15.744173) (xy -4.63779 -15.782075)
(xy -4.629316 -15.806765) (xy -4.614513 -15.825735) (xy -4.609903 -15.830201) (xy -4.57454 -15.851807)
(xy -4.522556 -15.869949) (xy -4.496255 -15.875849) (xy -4.441078 -15.881969) (xy -4.395164 -15.875483)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 1.95669 -16.030154) (xy 2.055253 -16.002656) (xy 2.159286 -15.961923) (xy 2.265032 -15.909497)
(xy 2.368732 -15.846916) (xy 2.46663 -15.775723) (xy 2.554969 -15.697457) (xy 2.582939 -15.668673)
(xy 2.667549 -15.557928) (xy 2.735828 -15.425938) (xy 2.787057 -15.274969) (xy 2.820512 -15.107292)
(xy 2.835473 -14.925172) (xy 2.83625 -14.872573) (xy 2.828091 -14.767294) (xy 2.803302 -14.684363)
(xy 2.761658 -14.623165) (xy 2.737555 -14.602691) (xy 2.692528 -14.581576) (xy 2.637004 -14.56992)
(xy 2.584251 -14.569704) (xy 2.559082 -14.576044) (xy 2.541901 -14.583932) (xy 2.529425 -14.593801)
(xy 2.519596 -14.610708) (xy 2.51036 -14.639708) (xy 2.49966 -14.685861) (xy 2.48544 -14.754222)
(xy 2.484208 -14.760222) (xy 2.436964 -14.952232) (xy 2.377878 -15.122538) (xy 2.304964 -15.275879)
(xy 2.216238 -15.416995) (xy 2.199385 -15.440183) (xy 2.114065 -15.543244) (xy 2.026622 -15.622567)
(xy 1.931096 -15.682374) (xy 1.821529 -15.726885) (xy 1.77541 -15.740548) (xy 1.712206 -15.763724)
(xy 1.659638 -15.794267) (xy 1.623284 -15.828077) (xy 1.608725 -15.861055) (xy 1.608666 -15.863085)
(xy 1.619425 -15.898126) (xy 1.647547 -15.940791) (xy 1.686798 -15.98322) (xy 1.730946 -16.017554)
(xy 1.731395 -16.017832) (xy 1.791003 -16.039282) (xy 1.867354 -16.042876) (xy 1.95669 -16.030154)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 3.161381 -22.485342) (xy 3.206785 -22.481507) (xy 3.245772 -22.472006) (xy 3.288793 -22.454296)
(xy 3.346052 -22.425958) (xy 3.455569 -22.363245) (xy 3.576313 -22.281916) (xy 3.703145 -22.186351)
(xy 3.830927 -22.080926) (xy 3.954521 -21.970022) (xy 4.068789 -21.858015) (xy 4.168593 -21.749285)
(xy 4.231953 -21.671079) (xy 4.278605 -21.603395) (xy 4.305033 -21.549063) (xy 4.31282 -21.503518)
(xy 4.303993 -21.463253) (xy 4.282099 -21.426821) (xy 4.247787 -21.397046) (xy 4.198382 -21.373156)
(xy 4.131209 -21.35438) (xy 4.043593 -21.339944) (xy 3.932861 -21.329076) (xy 3.814344 -21.321859)
(xy 3.700508 -21.315443) (xy 3.610343 -21.308153) (xy 3.539298 -21.299265) (xy 3.482819 -21.288054)
(xy 3.436357 -21.273795) (xy 3.39536 -21.255766) (xy 3.393722 -21.254932) (xy 3.369871 -21.241168)
(xy 3.32635 -21.214529) (xy 3.267151 -21.177521) (xy 3.196268 -21.132649) (xy 3.117694 -21.082419)
(xy 3.076222 -21.055723) (xy 2.951452 -20.976108) (xy 2.847144 -20.911799) (xy 2.760812 -20.861675)
(xy 2.689968 -20.824615) (xy 2.632125 -20.7995) (xy 2.584796 -20.785208) (xy 2.545494 -20.780619)
(xy 2.511731 -20.784613) (xy 2.490348 -20.791794) (xy 2.437514 -20.824941) (xy 2.393422 -20.872296)
(xy 2.364484 -20.925487) (xy 2.356555 -20.966462) (xy 2.359082 -20.999109) (xy 2.368753 -21.029904)
(xy 2.388703 -21.064047) (xy 2.422066 -21.106738) (xy 2.471978 -21.163178) (xy 2.481292 -21.173376)
(xy 2.515606 -21.212156) (xy 2.544135 -21.248764) (xy 2.567979 -21.286683) (xy 2.588239 -21.329396)
(xy 2.606016 -21.380387) (xy 2.622409 -21.44314) (xy 2.638521 -21.521137) (xy 2.65545 -21.617863)
(xy 2.674299 -21.7368) (xy 2.688189 -21.828259) (xy 2.714822 -21.986028) (xy 2.743698 -22.117926)
(xy 2.775688 -22.226273) (xy 2.811664 -22.313389) (xy 2.852498 -22.381592) (xy 2.899064 -22.4332)
(xy 2.922849 -22.45215) (xy 2.949317 -22.46867) (xy 2.977236 -22.478806) (xy 3.014403 -22.484056)
(xy 3.068616 -22.485921) (xy 3.099108 -22.486055) (xy 3.161381 -22.485342)) (layer F.SilkS) (width 0.01))
)
(module Wire_Pads:SolderWirePad_single_0-8mmDrill (layer F.Cu) (tedit 0) (tstamp 5ABD66D0)
(at 53.39556 27.924137)
(fp_text reference "" (at 0 0) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.15)))
)
(fp_text value "" (at 0 0) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.15)))
)
(pad 1 thru_hole circle (at 0 0) (size 1.6 1.6) (drill 1.6) (layers *.Cu *.Mask))
)
(module Tiband:KZH_20SMD_1x20mm-CoinCell (layer B.Cu) (tedit 5DE451F1) (tstamp 5DE61DE7)
(at 54.102 64.262 180)
(descr "Keystone 3034 SMD battery holder for 2020, 2025 and 2032 coincell batteries. http://www.keyelco.com/product-pdf.cfm?p=798")
(tags "Keystone type 3034 coin cell retainer")
(path /5DE43CB1)
(attr smd)
(fp_text reference BT1 (at 0 11.5 180) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value Battery_Cell (at 0 -11.5 180) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start 15.748 -2.794) (end 15.748 2.786) (layer B.CrtYd) (width 0.05))
(fp_line (start 10.88 -2.79) (end 15.748 -2.794) (layer B.CrtYd) (width 0.05))
(fp_line (start 10.88 -3.64) (end 10.88 -2.79) (layer B.CrtYd) (width 0.05))
(fp_line (start 9.43 -7.63) (end 10.88 -3.64) (layer B.CrtYd) (width 0.05))
(fp_arc (start 7.31 -6.85) (end 5.96 -8.64) (angle 106.9) (layer B.CrtYd) (width 0.05))
(fp_arc (start 0 0) (end -5.96 -8.64) (angle 69.1) (layer B.CrtYd) (width 0.05))
(fp_arc (start -7.31 -6.85) (end -9.43 -7.62) (angle 106.9) (layer B.CrtYd) (width 0.05))
(fp_line (start -10.88 -3.64) (end -9.44 -7.62) (layer B.CrtYd) (width 0.05))
(fp_line (start -10.88 -2.79) (end -10.88 -3.64) (layer B.CrtYd) (width 0.05))
(fp_line (start -15.748 -2.79) (end -10.88 -2.79) (layer B.CrtYd) (width 0.05))
(fp_line (start -15.748 2.79) (end -15.748 -2.79) (layer B.CrtYd) (width 0.05))
(fp_line (start -10.88 2.79) (end -15.748 2.79) (layer B.CrtYd) (width 0.05))
(fp_line (start -10.88 5.5) (end -10.88 2.79) (layer B.CrtYd) (width 0.05))
(fp_line (start -8.74 7.64) (end -10.88 5.5) (layer B.CrtYd) (width 0.05))
(fp_line (start -7.2 7.64) (end -8.74 7.64) (layer B.CrtYd) (width 0.05))
(fp_arc (start 0 0) (end 7.2 7.64) (angle 86.6) (layer B.CrtYd) (width 0.05))
(fp_line (start 8.74 7.64) (end 7.2 7.64) (layer B.CrtYd) (width 0.05))
(fp_line (start 10.88 5.5) (end 8.74 7.64) (layer B.CrtYd) (width 0.05))
(fp_line (start 10.88 2.79) (end 10.88 5.5) (layer B.CrtYd) (width 0.05))
(fp_line (start 15.748 2.794) (end 10.88 2.79) (layer B.CrtYd) (width 0.05))
(fp_arc (start -7.31 -6.85) (end -9.19 -7.53) (angle 107.5) (layer B.Fab) (width 0.1))
(fp_arc (start 0 -16.36) (end 6.1 -8.43) (angle 75.1) (layer B.Fab) (width 0.1))
(fp_arc (start 7.31 -6.85) (end 6.1 -8.43) (angle 107.5) (layer B.Fab) (width 0.1))
(fp_line (start 10.63 -3.6) (end 9.19 -7.53) (layer B.Fab) (width 0.1))
(fp_line (start 10.63 5.4) (end 10.63 -3.6) (layer B.Fab) (width 0.1))
(fp_line (start 8.64 7.39) (end 10.63 5.4) (layer B.Fab) (width 0.1))
(fp_line (start -8.64 7.39) (end 8.64 7.39) (layer B.Fab) (width 0.1))
(fp_line (start -10.63 5.4) (end -8.64 7.39) (layer B.Fab) (width 0.1))
(fp_line (start -10.63 -3.6) (end -10.63 5.4) (layer B.Fab) (width 0.1))
(fp_line (start -9.19 -7.53) (end -10.63 -3.6) (layer B.Fab) (width 0.1))
(fp_line (start 10.78 -3) (end 10.78 -3.63) (layer B.SilkS) (width 0.1))
(fp_line (start 10.78 5.46) (end 10.78 3) (layer B.SilkS) (width 0.1))
(fp_line (start -10.78 -3) (end -10.78 -3.63) (layer B.SilkS) (width 0.1))
(fp_line (start -10.78 5.46) (end -10.78 3) (layer B.SilkS) (width 0.1))
(fp_arc (start 7.31 -6.85) (end 6 -8.55) (angle 107.5) (layer B.SilkS) (width 0.1))
(fp_line (start 10.78 -3.63) (end 9.34 -7.58) (layer B.SilkS) (width 0.1))
(fp_line (start 8.7 7.54) (end 10.78 5.46) (layer B.SilkS) (width 0.1))
(fp_line (start 8.7 7.54) (end -8.7 7.54) (layer B.SilkS) (width 0.1))
(fp_line (start -8.7 7.54) (end -10.78 5.46) (layer B.SilkS) (width 0.1))
(fp_line (start -10.78 -3.63) (end -9.34 -7.58) (layer B.SilkS) (width 0.1))
(fp_arc (start -7.31 -6.85) (end -9.34 -7.58) (angle 107.5) (layer B.SilkS) (width 0.1))
(fp_arc (start 0 -16.36) (end 6 -8.55) (angle 75.1) (layer B.SilkS) (width 0.1))
(fp_circle (center 0 0) (end 0 -10.25) (layer Dwgs.User) (width 0.15))
(fp_text user %R (at 0 2.9 180) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(pad 1 smd rect (at -12.9286 0.0254 180) (size 5.08 5.08) (layers B.Cu B.Paste B.Mask)
(net 1 VCC))
(pad 1 smd rect (at 12.9032 0.0254 180) (size 5.08 5.08) (layers B.Cu B.Paste B.Mask)
(net 1 VCC))
(pad 2 smd rect (at 0 0 180) (size 7 7) (layers B.Cu B.Paste B.Mask)
(net 2 GND))
(model ${KISYS3DMOD}/Battery_Holders.3dshapes/Keystone_3034_1x20mm-CoinCell.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitors_SMD:C_0603_HandSoldering (layer B.Cu) (tedit 58AA848B) (tstamp 5DE5937E)
(at 48.768 39.624 270)
(descr "Capacitor SMD 0603, hand soldering")
(tags "capacitor 0603")
(path /5DE4EB42)
(attr smd)
(fp_text reference C1 (at 0 1.25 90) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 100n (at 0 -1.5 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start 1.8 -0.65) (end -1.8 -0.65) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.8 -0.65) (end 1.8 0.65) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.8 0.65) (end -1.8 -0.65) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.8 0.65) (end 1.8 0.65) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.35 -0.6) (end -0.35 -0.6) (layer B.SilkS) (width 0.12))
(fp_line (start -0.35 0.6) (end 0.35 0.6) (layer B.SilkS) (width 0.12))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
(fp_text user %R (at 0 1.25 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(pad 2 smd rect (at 0.95 0 270) (size 1.2 0.75) (layers B.Cu B.Paste B.Mask)
(net 2 GND))
(pad 1 smd rect (at -0.95 0 270) (size 1.2 0.75) (layers B.Cu B.Paste B.Mask)
(net 1 VCC))
(model Capacitors_SMD.3dshapes/C_0603.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module LEDs:LED_0603_HandSoldering (layer B.Cu) (tedit 595FC9C0) (tstamp 5DE589B7)
(at 41.148 71.374)
(descr "LED SMD 0603, hand soldering")
(tags "LED 0603")
(path /5DE6EC43)
(attr smd)
(fp_text reference D1 (at 0 1.45) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value LED_Small (at 0 -1.55) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -1.8 0.55) (end -1.8 -0.55) (layer B.SilkS) (width 0.12))
(fp_line (start -0.2 0.2) (end -0.2 -0.2) (layer B.Fab) (width 0.1))
(fp_line (start -0.15 0) (end 0.15 0.2) (layer B.Fab) (width 0.1))
(fp_line (start 0.15 -0.2) (end -0.15 0) (layer B.Fab) (width 0.1))
(fp_line (start 0.15 0.2) (end 0.15 -0.2) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start -1.8 -0.55) (end 0.8 -0.55) (layer B.SilkS) (width 0.12))
(fp_line (start -1.8 0.55) (end 0.8 0.55) (layer B.SilkS) (width 0.12))
(fp_line (start -1.96 0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.96 0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.95 -0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.95 -0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(pad 1 smd rect (at -1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 3 "Net-(D1-Pad1)"))
(pad 2 smd rect (at 1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 4 /LED_B1))
(model ${KISYS3DMOD}/LEDs.3dshapes/LED_0603.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 180))
)
)
(module LEDs:LED_0603_HandSoldering (layer B.Cu) (tedit 595FC9C0) (tstamp 5DE59346)
(at 41.148 69.088)
(descr "LED SMD 0603, hand soldering")
(tags "LED 0603")
(path /5DE76A86)
(attr smd)
(fp_text reference D2 (at 0 1.45) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value LED_Small (at 0 -1.55) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 1.95 -0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.95 -0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.96 0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.96 0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.8 0.55) (end 0.8 0.55) (layer B.SilkS) (width 0.12))
(fp_line (start -1.8 -0.55) (end 0.8 -0.55) (layer B.SilkS) (width 0.12))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.15 0.2) (end 0.15 -0.2) (layer B.Fab) (width 0.1))
(fp_line (start 0.15 -0.2) (end -0.15 0) (layer B.Fab) (width 0.1))
(fp_line (start -0.15 0) (end 0.15 0.2) (layer B.Fab) (width 0.1))
(fp_line (start -0.2 0.2) (end -0.2 -0.2) (layer B.Fab) (width 0.1))
(fp_line (start -1.8 0.55) (end -1.8 -0.55) (layer B.SilkS) (width 0.12))
(pad 2 smd rect (at 1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 5 /LED_R1))
(pad 1 smd rect (at -1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 6 "Net-(D2-Pad1)"))
(model ${KISYS3DMOD}/LEDs.3dshapes/LED_0603.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 180))
)
)
(module LEDs:LED_0603_HandSoldering (layer B.Cu) (tedit 595FC9C0) (tstamp 5DE591CC)
(at 67.056 68.58 180)
(descr "LED SMD 0603, hand soldering")
(tags "LED 0603")
(path /5DE7708F)
(attr smd)
(fp_text reference D3 (at 0 1.45) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value LED_Small (at 0 -1.55) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -1.8 0.55) (end -1.8 -0.55) (layer B.SilkS) (width 0.12))
(fp_line (start -0.2 0.2) (end -0.2 -0.2) (layer B.Fab) (width 0.1))
(fp_line (start -0.15 0) (end 0.15 0.2) (layer B.Fab) (width 0.1))
(fp_line (start 0.15 -0.2) (end -0.15 0) (layer B.Fab) (width 0.1))
(fp_line (start 0.15 0.2) (end 0.15 -0.2) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start -1.8 -0.55) (end 0.8 -0.55) (layer B.SilkS) (width 0.12))
(fp_line (start -1.8 0.55) (end 0.8 0.55) (layer B.SilkS) (width 0.12))
(fp_line (start -1.96 0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.96 0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.95 -0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.95 -0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(pad 1 smd rect (at -1.1 0 180) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 7 "Net-(D3-Pad1)"))
(pad 2 smd rect (at 1.1 0 180) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 8 /LED_B2))
(model ${KISYS3DMOD}/LEDs.3dshapes/LED_0603.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 180))
)
)
(module LEDs:LED_0603_HandSoldering (layer B.Cu) (tedit 595FC9C0) (tstamp 5DE5D366)
(at 67.056 70.866 180)
(descr "LED SMD 0603, hand soldering")
(tags "LED 0603")
(path /5DE776A6)
(attr smd)
(fp_text reference D4 (at 0 1.45) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value LED_Small (at 0 -1.55) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 1.95 -0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.95 -0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.96 0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.96 0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.8 0.55) (end 0.8 0.55) (layer B.SilkS) (width 0.12))
(fp_line (start -1.8 -0.55) (end 0.8 -0.55) (layer B.SilkS) (width 0.12))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.15 0.2) (end 0.15 -0.2) (layer B.Fab) (width 0.1))
(fp_line (start 0.15 -0.2) (end -0.15 0) (layer B.Fab) (width 0.1))
(fp_line (start -0.15 0) (end 0.15 0.2) (layer B.Fab) (width 0.1))
(fp_line (start -0.2 0.2) (end -0.2 -0.2) (layer B.Fab) (width 0.1))
(fp_line (start -1.8 0.55) (end -1.8 -0.55) (layer B.SilkS) (width 0.12))
(pad 2 smd rect (at 1.1 0 180) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 9 /LED_R2))
(pad 1 smd rect (at -1.1 0 180) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 10 "Net-(D4-Pad1)"))
(model ${KISYS3DMOD}/LEDs.3dshapes/LED_0603.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 180))
)
)
(module LEDs:LED_0603_HandSoldering (layer B.Cu) (tedit 595FC9C0) (tstamp 5DE5907F)
(at 54.864 32.258 270)
(descr "LED SMD 0603, hand soldering")
(tags "LED 0603")
(path /5DE784AA)
(attr smd)
(fp_text reference D5 (at 0 1.45 90) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value LED_Small (at 0 -1.55 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -1.8 0.55) (end -1.8 -0.55) (layer B.SilkS) (width 0.12))
(fp_line (start -0.2 0.2) (end -0.2 -0.2) (layer B.Fab) (width 0.1))
(fp_line (start -0.15 0) (end 0.15 0.2) (layer B.Fab) (width 0.1))
(fp_line (start 0.15 -0.2) (end -0.15 0) (layer B.Fab) (width 0.1))
(fp_line (start 0.15 0.2) (end 0.15 -0.2) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start -1.8 -0.55) (end 0.8 -0.55) (layer B.SilkS) (width 0.12))
(fp_line (start -1.8 0.55) (end 0.8 0.55) (layer B.SilkS) (width 0.12))
(fp_line (start -1.96 0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.96 0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.95 -0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.95 -0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(pad 1 smd rect (at -1.1 0 270) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 11 "Net-(D5-Pad1)"))
(pad 2 smd rect (at 1.1 0 270) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 12 /LED_B3))
(model ${KISYS3DMOD}/LEDs.3dshapes/LED_0603.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 180))
)
)
(module LEDs:LED_0603_HandSoldering (layer B.Cu) (tedit 595FC9C0) (tstamp 5DE5930A)
(at 52.832 32.258 270)
(descr "LED SMD 0603, hand soldering")
(tags "LED 0603")
(path /5DE7899C)
(attr smd)
(fp_text reference D6 (at 0 1.45 90) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value LED_Small (at 0 -1.55 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 1.95 -0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.95 -0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.96 0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.96 0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.8 0.55) (end 0.8 0.55) (layer B.SilkS) (width 0.12))
(fp_line (start -1.8 -0.55) (end 0.8 -0.55) (layer B.SilkS) (width 0.12))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.15 0.2) (end 0.15 -0.2) (layer B.Fab) (width 0.1))
(fp_line (start 0.15 -0.2) (end -0.15 0) (layer B.Fab) (width 0.1))
(fp_line (start -0.15 0) (end 0.15 0.2) (layer B.Fab) (width 0.1))
(fp_line (start -0.2 0.2) (end -0.2 -0.2) (layer B.Fab) (width 0.1))
(fp_line (start -1.8 0.55) (end -1.8 -0.55) (layer B.SilkS) (width 0.12))
(pad 2 smd rect (at 1.1 0 270) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 13 /LED_R3))
(pad 1 smd rect (at -1.1 0 270) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 14 "Net-(D6-Pad1)"))
(model ${KISYS3DMOD}/LEDs.3dshapes/LED_0603.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 180))
)
)
(module Resistors_SMD:R_0603_HandSoldering (layer B.Cu) (tedit 58E0A804) (tstamp 5DE593AE)
(at 36.83 71.374 180)
(descr "Resistor SMD 0603, hand soldering")
(tags "resistor 0603")
(path /5DEDD095)
(attr smd)
(fp_text reference R1 (at 0 1.45) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value R_Small (at 0 -1.55) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start 1.95 -0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.95 -0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.96 0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.96 0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.5 0.68) (end 0.5 0.68) (layer B.SilkS) (width 0.12))
(fp_line (start 0.5 -0.68) (end -0.5 -0.68) (layer B.SilkS) (width 0.12))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 0.4 0.4) (thickness 0.075)) (justify mirror))
)
(pad 2 smd rect (at 1.1 0 180) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 2 GND))
(pad 1 smd rect (at -1.1 0 180) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 3 "Net-(D1-Pad1)"))
(model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistors_SMD:R_0603_HandSoldering (layer B.Cu) (tedit 58E0A804) (tstamp 5DE59204)
(at 36.83 69.088 180)
(descr "Resistor SMD 0603, hand soldering")
(tags "resistor 0603")
(path /5DEE40FC)
(attr smd)
(fp_text reference R2 (at 0 1.45) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value R_Small (at 0 -1.55) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 0.4 0.4) (thickness 0.075)) (justify mirror))
)
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.5 -0.68) (end -0.5 -0.68) (layer B.SilkS) (width 0.12))
(fp_line (start -0.5 0.68) (end 0.5 0.68) (layer B.SilkS) (width 0.12))
(fp_line (start -1.96 0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.96 0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.95 -0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.95 -0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -1.1 0 180) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 6 "Net-(D2-Pad1)"))
(pad 2 smd rect (at 1.1 0 180) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 2 GND))
(model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistors_SMD:R_0603_HandSoldering (layer B.Cu) (tedit 58E0A804) (tstamp 5DE5928E)
(at 71.374 68.58)
(descr "Resistor SMD 0603, hand soldering")
(tags "resistor 0603")
(path /5DEE448F)
(attr smd)
(fp_text reference R3 (at 0 1.45) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value R_Small (at 0 -1.55) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start 1.95 -0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.95 -0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.96 0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.96 0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.5 0.68) (end 0.5 0.68) (layer B.SilkS) (width 0.12))
(fp_line (start 0.5 -0.68) (end -0.5 -0.68) (layer B.SilkS) (width 0.12))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 0.4 0.4) (thickness 0.075)) (justify mirror))
)
(pad 2 smd rect (at 1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 2 GND))
(pad 1 smd rect (at -1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 7 "Net-(D3-Pad1)"))
(model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistors_SMD:R_0603_HandSoldering (layer B.Cu) (tedit 58E0A804) (tstamp 5DE5902D)
(at 71.374 70.866)
(descr "Resistor SMD 0603, hand soldering")
(tags "resistor 0603")
(path /5DEE46E7)
(attr smd)
(fp_text reference R4 (at 0 1.45) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value R_Small (at 0 -1.55) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 0.4 0.4) (thickness 0.075)) (justify mirror))
)
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.5 -0.68) (end -0.5 -0.68) (layer B.SilkS) (width 0.12))
(fp_line (start -0.5 0.68) (end 0.5 0.68) (layer B.SilkS) (width 0.12))
(fp_line (start -1.96 0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.96 0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.95 -0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.95 -0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 10 "Net-(D4-Pad1)"))
(pad 2 smd rect (at 1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 2 GND))
(model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistors_SMD:R_0603_HandSoldering (layer B.Cu) (tedit 58E0A804) (tstamp 5DE58A9F)
(at 56.896 32.258 270)
(descr "Resistor SMD 0603, hand soldering")
(tags "resistor 0603")
(path /5DEE4991)
(attr smd)
(fp_text reference R5 (at 0 1.45 90) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value R_Small (at 0 -1.55 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start 1.95 -0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.95 -0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.96 0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.96 0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.5 0.68) (end 0.5 0.68) (layer B.SilkS) (width 0.12))
(fp_line (start 0.5 -0.68) (end -0.5 -0.68) (layer B.SilkS) (width 0.12))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.4 0.4) (thickness 0.075)) (justify mirror))
)
(pad 2 smd rect (at 1.1 0 270) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 2 GND))
(pad 1 smd rect (at -1.1 0 270) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 11 "Net-(D5-Pad1)"))
(model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistors_SMD:R_0603_HandSoldering (layer B.Cu) (tedit 58E0A804) (tstamp 5DE58AB0)
(at 50.8 32.258 270)
(descr "Resistor SMD 0603, hand soldering")
(tags "resistor 0603")
(path /5DEE4C8A)
(attr smd)
(fp_text reference R6 (at 0 1.45 90) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value R_Small (at 0 -1.55 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.4 0.4) (thickness 0.075)) (justify mirror))
)
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.5 -0.68) (end -0.5 -0.68) (layer B.SilkS) (width 0.12))
(fp_line (start -0.5 0.68) (end 0.5 0.68) (layer B.SilkS) (width 0.12))
(fp_line (start -1.96 0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.96 0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.95 -0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.95 -0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -1.1 0 270) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 14 "Net-(D6-Pad1)"))
(pad 2 smd rect (at 1.1 0 270) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 2 GND))
(model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistors_SMD:R_0603_HandSoldering (layer B.Cu) (tedit 58E0A804) (tstamp 5DE5CC01)
(at 63.754 54.61 135)
(descr "Resistor SMD 0603, hand soldering")
(tags "resistor 0603")
(path /5DE5535E)
(attr smd)
(fp_text reference R10 (at 0 1.45 135) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 10k (at 0 -1.55 135) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text user %R (at 0 0 135) (layer B.Fab)
(effects (font (size 0.4 0.4) (thickness 0.075)) (justify mirror))
)
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.5 -0.68) (end -0.5 -0.68) (layer B.SilkS) (width 0.12))
(fp_line (start -0.5 0.68) (end 0.5 0.68) (layer B.SilkS) (width 0.12))
(fp_line (start -1.96 0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.96 0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.95 -0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.95 -0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at -1.1 0 135) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 1 VCC))
(pad 2 smd rect (at 1.1 0 135) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 15 "Net-(R10-Pad2)"))
(model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistors_SMD:R_0603_HandSoldering (layer B.Cu) (tedit 58E0A804) (tstamp 5DE5F6B1)
(at 58.928 32.258 270)
(descr "Resistor SMD 0603, hand soldering")
(tags "resistor 0603")
(path /5DE563EE)
(attr smd)
(fp_text reference R11 (at 0 1.45 90) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 10k (at 0 -1.55 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start 1.95 -0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.95 -0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.96 0.7) (end -1.96 -0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.96 0.7) (end 1.95 0.7) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.5 0.68) (end 0.5 0.68) (layer B.SilkS) (width 0.12))
(fp_line (start 0.5 -0.68) (end -0.5 -0.68) (layer B.SilkS) (width 0.12))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.4 0.4) (thickness 0.075)) (justify mirror))
)
(pad 2 smd rect (at 1.1 0 270) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 16 /RESET))
(pad 1 smd rect (at -1.1 0 270) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 1 VCC))
(model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Housings_SOIC:SOIC-14_3.9x8.7mm_Pitch1.27mm (layer B.Cu) (tedit 58CC8F64) (tstamp 5DE58B31)
(at 54.61 39.37 270)
(descr "14-Lead Plastic Small Outline (SL) - Narrow, 3.90 mm Body [SOIC] (see Microchip Packaging Specification 00000049BS.pdf)")
(tags "SOIC 1.27")
(path /5DDAC348)
(attr smd)
(fp_text reference U1 (at 0 0 180) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value ATtiny84A-SSU (at 0 -5.375 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -2.075 4.425) (end -3.45 4.425) (layer B.SilkS) (width 0.15))
(fp_line (start -2.075 -4.45) (end 2.075 -4.45) (layer B.SilkS) (width 0.15))
(fp_line (start -2.075 4.45) (end 2.075 4.45) (layer B.SilkS) (width 0.15))
(fp_line (start -2.075 -4.45) (end -2.075 -4.335) (layer B.SilkS) (width 0.15))
(fp_line (start 2.075 -4.45) (end 2.075 -4.335) (layer B.SilkS) (width 0.15))
(fp_line (start 2.075 4.45) (end 2.075 4.335) (layer B.SilkS) (width 0.15))
(fp_line (start -2.075 4.45) (end -2.075 4.425) (layer B.SilkS) (width 0.15))
(fp_line (start -3.7 -4.65) (end 3.7 -4.65) (layer B.CrtYd) (width 0.05))
(fp_line (start -3.7 4.65) (end 3.7 4.65) (layer B.CrtYd) (width 0.05))
(fp_line (start 3.7 4.65) (end 3.7 -4.65) (layer B.CrtYd) (width 0.05))
(fp_line (start -3.7 4.65) (end -3.7 -4.65) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.95 3.35) (end -0.95 4.35) (layer B.Fab) (width 0.15))
(fp_line (start -1.95 -4.35) (end -1.95 3.35) (layer B.Fab) (width 0.15))
(fp_line (start 1.95 -4.35) (end -1.95 -4.35) (layer B.Fab) (width 0.15))
(fp_line (start 1.95 4.35) (end 1.95 -4.35) (layer B.Fab) (width 0.15))
(fp_line (start -0.95 4.35) (end 1.95 4.35) (layer B.Fab) (width 0.15))
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.9 0.9) (thickness 0.135)) (justify mirror))
)
(pad 14 smd rect (at 2.7 3.81 270) (size 1.5 0.6) (layers B.Cu B.Paste B.Mask)
(net 2 GND))
(pad 13 smd rect (at 2.7 2.54 270) (size 1.5 0.6) (layers B.Cu B.Paste B.Mask)
(net 5 /LED_R1))
(pad 12 smd rect (at 2.7 1.27 270) (size 1.5 0.6) (layers B.Cu B.Paste B.Mask)
(net 4 /LED_B1))
(pad 11 smd rect (at 2.7 0 270) (size 1.5 0.6) (layers B.Cu B.Paste B.Mask)
(net 9 /LED_R2))
(pad 10 smd rect (at 2.7 -1.27 270) (size 1.5 0.6) (layers B.Cu B.Paste B.Mask)
(net 8 /LED_B2))
(pad 9 smd rect (at 2.7 -2.54 270) (size 1.5 0.6) (layers B.Cu B.Paste B.Mask)
(net 19 /SCK))
(pad 8 smd rect (at 2.7 -3.81 270) (size 1.5 0.6) (layers B.Cu B.Paste B.Mask)
(net 17 /MISO))
(pad 7 smd rect (at -2.7 -3.81 270) (size 1.5 0.6) (layers B.Cu B.Paste B.Mask)
(net 18 /MOSI))
(pad 6 smd rect (at -2.7 -2.54 270) (size 1.5 0.6) (layers B.Cu B.Paste B.Mask)
(net 20 "Net-(U1-Pad6)"))
(pad 5 smd rect (at -2.7 -1.27 270) (size 1.5 0.6) (layers B.Cu B.Paste B.Mask)
(net 15 "Net-(R10-Pad2)"))
(pad 4 smd rect (at -2.7 0 270) (size 1.5 0.6) (layers B.Cu B.Paste B.Mask)
(net 16 /RESET))
(pad 3 smd rect (at -2.7 1.27 270) (size 1.5 0.6) (layers B.Cu B.Paste B.Mask)
(net 12 /LED_B3))
(pad 2 smd rect (at -2.7 2.54 270) (size 1.5 0.6) (layers B.Cu B.Paste B.Mask)
(net 13 /LED_R3))
(pad 1 smd rect (at -2.7 3.81 270) (size 1.5 0.6) (layers B.Cu B.Paste B.Mask)
(net 1 VCC))
(model ${KISYS3DMOD}/Housings_SOIC.3dshapes/SOIC-14_3.9x8.7mm_Pitch1.27mm.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(gr_text "tiband.de\nv1.0" (at 63.754 75.692) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(gr_line (start 53.378992 24.760352) (end 53.381092 24.760352) (layer Edge.Cuts) (width 0.1))
(gr_line (start 53.381092 24.760352) (end 53.841679 24.777186) (layer Edge.Cuts) (width 0.1))
(gr_line (start 53.841679 24.777186) (end 54.295535 24.810181) (layer Edge.Cuts) (width 0.1))
(gr_line (start 54.295535 24.810181) (end 54.739963 24.858664) (layer Edge.Cuts) (width 0.1))
(gr_line (start 54.739963 24.858664) (end 55.17631 24.923307) (layer Edge.Cuts) (width 0.1))
(gr_line (start 55.17631 24.923307) (end 55.602557 25.002765) (layer Edge.Cuts) (width 0.1))
(gr_line (start 55.602557 25.002765) (end 56.02005 25.097711) (layer Edge.Cuts) (width 0.1))
(gr_line (start 56.02005 25.097711) (end 56.427441 25.206798) (layer Edge.Cuts) (width 0.1))
(gr_line (start 56.427441 25.206798) (end 56.824733 25.330025) (layer Edge.Cuts) (width 0.1))
(gr_line (start 56.824733 25.330025) (end 57.211925 25.466721) (layer Edge.Cuts) (width 0.1))
(gr_line (start 57.211925 25.466721) (end 57.588341 25.617557) (layer Edge.Cuts) (width 0.1))
(gr_line (start 57.588341 25.617557) (end 57.953984 25.781187) (layer Edge.Cuts) (width 0.1))
(gr_line (start 57.953984 25.781187) (end 58.30818 25.958285) (layer Edge.Cuts) (width 0.1))
(gr_line (start 58.30818 25.958285) (end 58.651601 26.14683) (layer Edge.Cuts) (width 0.1))
(gr_line (start 58.651601 26.14683) (end 58.982902 26.348169) (layer Edge.Cuts) (width 0.1))
(gr_line (start 58.982902 26.348169) (end 59.302081 26.560956) (layer Edge.Cuts) (width 0.1))
(gr_line (start 59.302081 26.560956) (end 59.609816 26.785863) (layer Edge.Cuts) (width 0.1))
(gr_line (start 59.609816 26.785863) (end 59.904753 27.020872) (layer Edge.Cuts) (width 0.1))
(gr_line (start 59.904753 27.020872) (end 60.186898 27.267327) (layer Edge.Cuts) (width 0.1))
(gr_line (start 60.186898 27.267327) (end 60.456247 27.523883) (layer Edge.Cuts) (width 0.1))
(gr_line (start 60.456247 27.523883) (end 60.71213 27.79054) (layer Edge.Cuts) (width 0.1))
(gr_line (start 60.71213 27.79054) (end 60.954545 28.067297) (layer Edge.Cuts) (width 0.1))
(gr_line (start 60.954545 28.067297) (end 61.18282 28.352808) (layer Edge.Cuts) (width 0.1))
(gr_line (start 61.18282 28.352808) (end 61.397627 28.647747) (layer Edge.Cuts) (width 0.1))
(gr_line (start 61.397627 28.647747) (end 61.597619 28.950766) (layer Edge.Cuts) (width 0.1))
(gr_line (start 61.597619 28.950766) (end 61.782798 29.262539) (layer Edge.Cuts) (width 0.1))
(gr_line (start 61.782798 29.262539) (end 61.953834 29.582392) (layer Edge.Cuts) (width 0.1))
(gr_line (start 61.953834 29.582392) (end 62.109385 29.909652) (layer Edge.Cuts) (width 0.1))
(gr_line (start 62.109385 29.909652) (end 62.249447 30.244994) (layer Edge.Cuts) (width 0.1))
(gr_line (start 62.249447 30.244994) (end 62.37402 30.586395) (layer Edge.Cuts) (width 0.1))
(gr_line (start 62.37402 30.586395) (end 62.482433 30.93453) (layer Edge.Cuts) (width 0.1))
(gr_line (start 62.482433 30.93453) (end 62.574683 31.289399) (layer Edge.Cuts) (width 0.1))
(gr_line (start 62.574683 31.289399) (end 62.649423 31.644941) (layer Edge.Cuts) (width 0.1))
(gr_line (start 62.649423 31.644941) (end 62.649423 31.646961) (layer Edge.Cuts) (width 0.1))
(gr_line (start 62.649423 31.646961) (end 62.659563 31.702851) (layer Edge.Cuts) (width 0.1))
(gr_line (start 62.659563 31.702851) (end 62.659563 31.747967) (layer Edge.Cuts) (width 0.1))
(gr_line (start 62.659563 31.747967) (end 62.659563 31.766148) (layer Edge.Cuts) (width 0.1))
(gr_line (start 62.659563 31.766148) (end 62.715453 32.100142) (layer Edge.Cuts) (width 0.1))
(gr_line (start 62.715453 32.100142) (end 62.765283 32.429423) (layer Edge.Cuts) (width 0.1))
(gr_line (start 62.765283 32.429423) (end 62.850803 33.093372) (layer Edge.Cuts) (width 0.1))
(gr_line (start 62.850803 33.093372) (end 62.921513 33.75934) (layer Edge.Cuts) (width 0.1))
(gr_line (start 62.921513 33.75934) (end 62.979423 34.423962) (layer Edge.Cuts) (width 0.1))
(gr_line (start 62.979423 34.423962) (end 63.029253 35.083196) (layer Edge.Cuts) (width 0.1))
(gr_line (start 63.029253 35.083196) (end 63.074363 35.734352) (layer Edge.Cuts) (width 0.1))
(gr_line (start 63.074363 35.734352) (end 63.164603 36.993564) (layer Edge.Cuts) (width 0.1))
(gr_line (start 63.164603 36.993564) (end 63.220493 37.607683) (layer Edge.Cuts) (width 0.1))
(gr_line (start 63.220493 37.607683) (end 63.289853 38.196887) (layer Edge.Cuts) (width 0.1))
(gr_line (start 63.289853 38.196887) (end 63.371333 38.753095) (layer Edge.Cuts) (width 0.1))
(gr_line (start 63.371333 38.753095) (end 63.462913 39.268228) (layer Edge.Cuts) (width 0.1))
(gr_line (start 63.462913 39.268228) (end 63.563911 39.734203) (layer Edge.Cuts) (width 0.1))
(gr_line (start 63.563911 39.734203) (end 63.617771 39.946316) (layer Edge.Cuts) (width 0.1))
(gr_line (start 63.617771 39.946316) (end 63.672991 40.143615) (layer Edge.Cuts) (width 0.1))
(gr_line (start 63.672991 40.143615) (end 63.730231 40.32408) (layer Edge.Cuts) (width 0.1))
(gr_line (start 63.730231 40.32408) (end 63.789481 40.487711) (layer Edge.Cuts) (width 0.1))
(gr_line (start 63.789481 40.487711) (end 63.850081 40.633833) (layer Edge.Cuts) (width 0.1))
(gr_line (start 63.850081 40.633833) (end 63.912031 40.759083) (layer Edge.Cuts) (width 0.1))
(gr_line (start 63.912031 40.759083) (end 63.935601 40.803523) (layer Edge.Cuts) (width 0.1))
(gr_line (start 63.935601 40.803523) (end 64.358479 41.601473) (layer Edge.Cuts) (width 0.1))
(gr_line (start 64.358479 41.601473) (end 64.753077 42.352286) (layer Edge.Cuts) (width 0.1))
(gr_line (start 64.753077 42.352286) (end 65.140269 43.072798) (layer Edge.Cuts) (width 0.1))
(gr_line (start 65.140269 43.072798) (end 65.337569 43.428342) (layer Edge.Cuts) (width 0.1))
(gr_line (start 65.337569 43.428342) (end 65.540927 43.78321) (layer Edge.Cuts) (width 0.1))
(gr_line (start 65.540927 43.78321) (end 65.751695 44.140099) (layer Edge.Cuts) (width 0.1))
(gr_line (start 65.751695 44.140099) (end 65.97458 44.501028) (layer Edge.Cuts) (width 0.1))
(gr_line (start 65.97458 44.501028) (end 66.210261 44.86869) (layer Edge.Cuts) (width 0.1))
(gr_line (start 66.210261 44.86869) (end 66.462103 45.244435) (layer Edge.Cuts) (width 0.1))
(gr_line (start 66.462103 45.244435) (end 66.73213 45.632299) (layer Edge.Cuts) (width 0.1))
(gr_line (start 66.73213 45.632299) (end 67.023701 46.032957) (layer Edge.Cuts) (width 0.1))
(gr_line (start 67.023701 46.032957) (end 67.33884 46.449103) (layer Edge.Cuts) (width 0.1))
(gr_line (start 67.33884 46.449103) (end 67.680243 46.883431) (layer Edge.Cuts) (width 0.1))
(gr_line (start 67.680243 46.883431) (end 67.703143 46.911041) (layer Edge.Cuts) (width 0.1))
(gr_line (start 67.703143 46.911041) (end 68.097741 47.417421) (layer Edge.Cuts) (width 0.1))
(gr_line (start 68.097741 47.417421) (end 68.474832 47.928512) (layer Edge.Cuts) (width 0.1))
(gr_line (start 68.474832 47.928512) (end 68.834414 48.444319) (layer Edge.Cuts) (width 0.1))
(gr_line (start 68.834414 48.444319) (end 69.17649 48.965512) (layer Edge.Cuts) (width 0.1))
(gr_line (start 69.17649 48.965512) (end 69.501057 49.493438) (layer Edge.Cuts) (width 0.1))
(gr_line (start 69.501057 49.493438) (end 69.808116 50.027425) (layer Edge.Cuts) (width 0.1))
(gr_line (start 69.808116 50.027425) (end 70.096993 50.568817) (layer Edge.Cuts) (width 0.1))
(gr_line (start 70.096993 50.568817) (end 70.369036 51.117619) (layer Edge.Cuts) (width 0.1))
(gr_line (start 70.369036 51.117619) (end 70.622899 51.673826) (layer Edge.Cuts) (width 0.1))
(gr_line (start 70.622899 51.673826) (end 70.858583 52.239464) (layer Edge.Cuts) (width 0.1))
(gr_line (start 70.858583 52.239464) (end 71.076755 52.813853) (layer Edge.Cuts) (width 0.1))
(gr_line (start 71.076755 52.813853) (end 71.277422 53.397669) (layer Edge.Cuts) (width 0.1))
(gr_line (start 71.277422 53.397669) (end 71.459907 53.991586) (layer Edge.Cuts) (width 0.1))
(gr_line (start 71.459907 53.991586) (end 71.624209 54.596276) (layer Edge.Cuts) (width 0.1))
(gr_line (start 71.624209 54.596276) (end 71.771005 55.211742) (layer Edge.Cuts) (width 0.1))
(gr_line (start 71.771005 55.211742) (end 71.899618 55.838655) (layer Edge.Cuts) (width 0.1))
(gr_line (start 71.899618 55.838655) (end 71.908318 55.891175) (layer Edge.Cuts) (width 0.1))
(gr_line (start 71.908318 55.891175) (end 71.908318 55.912055) (layer Edge.Cuts) (width 0.1))
(gr_line (start 71.908318 55.912055) (end 72.117067 56.992825) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.117067 56.992825) (end 72.209317 57.499202) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.209317 57.499202) (end 72.290127 57.988746) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.290127 57.988746) (end 72.354777 58.465494) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.354777 58.465494) (end 72.401247 58.93349) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.401247 58.93349) (end 72.416067 59.164456) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.416067 59.164456) (end 72.424767 59.395427) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.424767 59.395427) (end 72.426867 59.625723) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.426867 59.625723) (end 72.422767 59.855342) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.422767 59.855342) (end 72.414067 59.992711) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.414067 59.992711) (end 72.397907 60.122001) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.397907 60.122001) (end 72.375007 60.244553) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.375007 60.244553) (end 72.346047 60.361725) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.346047 60.361725) (end 72.311037 60.472831) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.311037 60.472831) (end 72.271977 60.579227) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.271977 60.579227) (end 72.228887 60.680225) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.228887 60.680225) (end 72.182417 60.777205) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.182417 60.777205) (end 72.081419 60.962383) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.081419 60.962383) (end 71.975699 61.135438) (layer Edge.Cuts) (width 0.1))
(gr_line (start 71.975699 61.135438) (end 71.740691 61.507817) (layer Edge.Cuts) (width 0.1))
(gr_line (start 71.740691 61.507817) (end 72.076706 61.794002) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.076706 61.794002) (end 72.218788 61.919252) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.218788 61.919252) (end 72.350769 62.047187) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.350769 62.047187) (end 72.469961 62.179844) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.469961 62.179844) (end 72.522481 62.247184) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.522481 62.247184) (end 72.570291 62.317204) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.570291 62.317204) (end 72.612041 62.387914) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.612041 62.387914) (end 72.649081 62.461314) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.649081 62.461314) (end 72.678691 62.536734) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.678691 62.536734) (end 72.702261 62.614834) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.702261 62.614834) (end 72.745351 62.813478) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.745351 62.813478) (end 72.774991 63.002697) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.774991 63.002697) (end 72.793181 63.177774) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.793181 63.177774) (end 72.803211 63.334671) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.803211 63.334671) (end 72.807311 63.580454) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.807311 63.580454) (end 72.804611 63.702329) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.804611 63.702329) (end 72.804611 63.708529) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.804611 63.708529) (end 72.804611 63.753639) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.804611 63.753639) (end 72.801911 63.848569) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.801911 63.848569) (end 72.813341 63.966406) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.813341 63.966406) (end 72.814641 64.080205) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.814641 64.080205) (end 72.809941 64.27481) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.809941 64.27481) (end 72.799801 64.411507) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.799801 64.411507) (end 72.793601 64.512505) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.793601 64.512505) (end 72.801101 64.598695) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.801101 64.598695) (end 72.815241 64.643805) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.815241 64.643805) (end 72.835431 64.688255) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.835431 64.688255) (end 72.896031 64.781855) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.896031 64.781855) (end 73.116899 65.062654) (layer Edge.Cuts) (width 0.1))
(gr_line (start 73.116899 65.062654) (end 73.116899 65.071954) (layer Edge.Cuts) (width 0.1))
(gr_line (start 73.116899 65.071954) (end 73.140469 65.092834) (layer Edge.Cuts) (width 0.1))
(gr_line (start 73.140469 65.092834) (end 73.311506 65.298211) (layer Edge.Cuts) (width 0.1))
(gr_line (start 73.311506 65.298211) (end 73.482545 65.480698) (layer Edge.Cuts) (width 0.1))
(gr_line (start 73.482545 65.480698) (end 73.658294 65.644328) (layer Edge.Cuts) (width 0.1))
(gr_line (start 73.658294 65.644328) (end 73.847514 65.797856) (layer Edge.Cuts) (width 0.1))
(gr_line (start 73.847514 65.797856) (end 74.059625 65.950714) (layer Edge.Cuts) (width 0.1))
(gr_line (start 74.059625 65.950714) (end 74.303386 66.112996) (layer Edge.Cuts) (width 0.1))
(gr_line (start 74.303386 66.112996) (end 74.924914 66.50288) (layer Edge.Cuts) (width 0.1))
(gr_line (start 74.924914 66.50288) (end 74.949824 66.51702) (layer Edge.Cuts) (width 0.1))
(gr_line (start 74.949824 66.51702) (end 75.209075 66.688056) (layer Edge.Cuts) (width 0.1))
(gr_line (start 75.209075 66.688056) (end 75.339029 66.780976) (layer Edge.Cuts) (width 0.1))
(gr_line (start 75.339029 66.780976) (end 75.468996 66.879956) (layer Edge.Cuts) (width 0.1))
(gr_line (start 75.468996 66.879956) (end 75.597609 66.985011) (layer Edge.Cuts) (width 0.1))
(gr_line (start 75.597609 66.985011) (end 75.724201 67.096793) (layer Edge.Cuts) (width 0.1))
(gr_line (start 75.724201 67.096793) (end 75.848096 67.214629) (layer Edge.Cuts) (width 0.1))
(gr_line (start 75.848096 67.214629) (end 75.967952 67.339879) (layer Edge.Cuts) (width 0.1))
(gr_line (start 75.967952 67.339879) (end 76.083103 67.471859) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.083103 67.471859) (end 76.192857 67.611246) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.192857 67.611246) (end 76.296548 67.758717) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.296548 67.758717) (end 76.393508 67.914266) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.393508 67.914266) (end 76.482408 68.077896) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.482408 68.077896) (end 76.563218 68.250281) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.563218 68.250281) (end 76.634598 68.43209) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.634598 68.43209) (end 76.710698 68.670468) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.710698 68.670468) (end 76.710022 68.670468) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.710022 68.670468) (end 76.750432 68.839482) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.750432 68.839482) (end 76.783422 69.061023) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.783422 69.061023) (end 76.797562 69.286606) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.797562 69.286606) (end 76.792162 69.515552) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.792162 69.515552) (end 76.782132 69.629351) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.782132 69.629351) (end 76.767312 69.744502) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.767312 69.744502) (end 76.747112 69.858977) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.747112 69.858977) (end 76.721522 69.973453) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.721522 69.973453) (end 76.690542 70.087252) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.690542 70.087252) (end 76.654852 70.200375) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.654852 70.200375) (end 76.613102 70.312833) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.613102 70.312833) (end 76.566632 70.423263) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.566632 70.423263) (end 76.487172 70.579485) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.487172 70.579485) (end 76.394922 70.724259) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.394922 70.724259) (end 76.291907 70.859607) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.291907 70.859607) (end 76.179449 70.98822) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.179449 70.98822) (end 76.058916 71.110772) (layer Edge.Cuts) (width 0.1))
(gr_line (start 76.058916 71.110772) (end 75.933001 71.228608) (layer Edge.Cuts) (width 0.1))
(gr_line (start 75.933001 71.228608) (end 75.668364 71.459575) (layer Edge.Cuts) (width 0.1))
(gr_line (start 75.668364 71.459575) (end 75.450863 71.679771) (layer Edge.Cuts) (width 0.1))
(gr_line (start 75.450863 71.679771) (end 75.333028 71.786831) (layer Edge.Cuts) (width 0.1))
(gr_line (start 75.333028 71.786831) (end 75.199698 71.892551) (layer Edge.Cuts) (width 0.1))
(gr_line (start 75.199698 71.892551) (end 74.386935 72.456168) (layer Edge.Cuts) (width 0.1))
(gr_line (start 74.386935 72.456168) (end 74.003784 72.712051) (layer Edge.Cuts) (width 0.1))
(gr_line (start 74.003784 72.712051) (end 73.626022 72.951099) (layer Edge.Cuts) (width 0.1))
(gr_line (start 73.626022 72.951099) (end 73.248259 73.175334) (layer Edge.Cuts) (width 0.1))
(gr_line (start 73.248259 73.175334) (end 72.861738 73.38408) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.861738 73.38408) (end 72.664442 73.48307) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.664442 73.48307) (end 72.461756 73.57801) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.461756 73.57801) (end 72.253682 73.67025) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.253682 73.67025) (end 72.037528 73.75981) (layer Edge.Cuts) (width 0.1))
(gr_line (start 72.037528 73.75981) (end 71.883999 73.8258) (layer Edge.Cuts) (width 0.1))
(gr_line (start 71.883999 73.8258) (end 71.70892 73.90862) (layer Edge.Cuts) (width 0.1))
(gr_line (start 71.70892 73.90862) (end 71.312302 74.113328) (layer Edge.Cuts) (width 0.1))
(gr_line (start 71.312302 74.113328) (end 70.869894 74.363821) (layer Edge.Cuts) (width 0.1))
(gr_line (start 70.869894 74.363821) (end 70.403245 74.647986) (layer Edge.Cuts) (width 0.1))
(gr_line (start 70.403245 74.647986) (end 69.936597 74.9537) (layer Edge.Cuts) (width 0.1))
(gr_line (start 69.936597 74.9537) (end 69.709671 75.110596) (layer Edge.Cuts) (width 0.1))
(gr_line (start 69.709671 75.110596) (end 69.491495 75.268839) (layer Edge.Cuts) (width 0.1))
(gr_line (start 69.491495 75.268839) (end 69.284095 75.427084) (layer Edge.Cuts) (width 0.1))
(gr_line (start 69.284095 75.427084) (end 69.090836 75.583305) (layer Edge.Cuts) (width 0.1))
(gr_line (start 69.090836 75.583305) (end 68.913739 75.736161) (layer Edge.Cuts) (width 0.1))
(gr_line (start 68.913739 75.736161) (end 68.867949 75.779251) (layer Edge.Cuts) (width 0.1))
(gr_line (start 68.867949 75.779251) (end 68.867949 75.765791) (layer Edge.Cuts) (width 0.1))
(gr_line (start 68.867949 75.765791) (end 68.405339 76.247253) (layer Edge.Cuts) (width 0.1))
(gr_line (start 68.405339 76.247253) (end 68.218817 76.443205) (layer Edge.Cuts) (width 0.1))
(gr_line (start 68.218817 76.443205) (end 68.020168 76.645217) (layer Edge.Cuts) (width 0.1))
(gr_line (start 68.020168 76.645217) (end 67.806708 76.850596) (layer Edge.Cuts) (width 0.1))
(gr_line (start 67.806708 76.850596) (end 67.574397 77.056651) (layer Edge.Cuts) (width 0.1))
(gr_line (start 67.574397 77.056651) (end 67.321206 77.261357) (layer Edge.Cuts) (width 0.1))
(gr_line (start 67.321206 77.261357) (end 67.042431 77.461349) (layer Edge.Cuts) (width 0.1))
(gr_line (start 67.042431 77.461349) (end 66.889573 77.561009) (layer Edge.Cuts) (width 0.1))
(gr_line (start 66.889573 77.561009) (end 66.730655 77.654609) (layer Edge.Cuts) (width 0.1))
(gr_line (start 66.730655 77.654609) (end 66.565006 77.743489) (layer Edge.Cuts) (width 0.1))
(gr_line (start 66.565006 77.743489) (end 66.393968 77.826989) (layer Edge.Cuts) (width 0.1))
(gr_line (start 66.393968 77.826989) (end 66.217544 77.905109) (layer Edge.Cuts) (width 0.1))
(gr_line (start 66.217544 77.905109) (end 66.034386 77.977159) (layer Edge.Cuts) (width 0.1))
(gr_line (start 66.034386 77.977159) (end 65.845839 78.043149) (layer Edge.Cuts) (width 0.1))
(gr_line (start 65.845839 78.043149) (end 65.651907 78.103069) (layer Edge.Cuts) (width 0.1))
(gr_line (start 65.651907 78.103069) (end 65.451918 78.156939) (layer Edge.Cuts) (width 0.1))
(gr_line (start 65.451918 78.156939) (end 65.246536 78.204069) (layer Edge.Cuts) (width 0.1))
(gr_line (start 65.246536 78.204069) (end 65.035096 78.244479) (layer Edge.Cuts) (width 0.1))
(gr_line (start 65.035096 78.244479) (end 64.818271 78.277469) (layer Edge.Cuts) (width 0.1))
(gr_line (start 64.818271 78.277469) (end 64.596055 78.303739) (layer Edge.Cuts) (width 0.1))
(gr_line (start 64.596055 78.303739) (end 64.367783 78.323269) (layer Edge.Cuts) (width 0.1))
(gr_line (start 64.367783 78.323269) (end 64.134792 78.334699) (layer Edge.Cuts) (width 0.1))
(gr_line (start 64.134792 78.334699) (end 63.895743 78.338799) (layer Edge.Cuts) (width 0.1))
(gr_line (start 63.895743 78.338799) (end 63.468152 78.331999) (layer Edge.Cuts) (width 0.1))
(gr_line (start 63.468152 78.331999) (end 63.054026 78.311119) (layer Edge.Cuts) (width 0.1))
(gr_line (start 63.054026 78.311119) (end 62.652021 78.274079) (layer Edge.Cuts) (width 0.1))
(gr_line (start 62.652021 78.274079) (end 62.456741 78.249159) (layer Edge.Cuts) (width 0.1))
(gr_line (start 62.456741 78.249159) (end 62.264156 78.218859) (layer Edge.Cuts) (width 0.1))
(gr_line (start 62.264156 78.218859) (end 62.074264 78.183169) (layer Edge.Cuts) (width 0.1))
(gr_line (start 62.074264 78.183169) (end 61.887739 78.142759) (layer Edge.Cuts) (width 0.1))
(gr_line (start 61.887739 78.142759) (end 61.703907 78.096289) (layer Edge.Cuts) (width 0.1))
(gr_line (start 61.703907 78.096289) (end 61.52277 78.043769) (layer Edge.Cuts) (width 0.1))
(gr_line (start 61.52277 78.043769) (end 61.344999 77.985179) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59114))
(gr_line (start 61.344999 77.985179) (end 61.169248 77.920529) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59126))
(gr_line (start 61.169248 77.920529) (end 60.996864 77.848469) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59123))
(gr_line (start 60.996864 77.848469) (end 60.827172 77.769679) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59069))
(gr_line (start 60.827172 77.769679) (end 60.674317 77.690219) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59066))
(gr_line (start 60.674317 77.690219) (end 60.528194 77.604699) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590C9))
(gr_line (start 60.528194 77.604699) (end 60.387459 77.512449) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590C6))
(gr_line (start 60.387459 77.512449) (end 60.253457 77.414129) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59276))
(gr_line (start 60.253457 77.414129) (end 60.124167 77.310426) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59273))
(gr_line (start 60.124167 77.310426) (end 60.000273 77.201337) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5912C))
(gr_line (start 60.000273 77.201337) (end 59.881759 77.087538) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59129))
(gr_line (start 59.881759 77.087538) (end 59.76796 76.969024) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59063))
(gr_line (start 59.76796 76.969024) (end 59.658871 76.846472) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59060))
(gr_line (start 59.658871 76.846472) (end 59.553827 76.720556) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5910B))
(gr_line (start 59.553827 76.720556) (end 59.453494 76.591268) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59108))
(gr_line (start 59.453494 76.591268) (end 59.357874 76.458612) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590FF))
(gr_line (start 59.357874 76.458612) (end 59.176735 76.185896) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590FC))
(gr_line (start 59.176735 76.185896) (end 58.991558 75.874122) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5945C))
(gr_line (start 58.991558 75.874122) (end 58.444103 75.845852) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59459))
(gr_line (start 58.444103 75.845852) (end 58.451603 75.853352) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59426))
(gr_line (start 58.451603 75.853352) (end 57.544566 75.829782) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59423))
(gr_line (start 57.544566 75.829782) (end 55.886043 75.806882) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59432))
(gr_line (start 55.886043 75.806882) (end 54.927829 75.800682) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5942F))
(gr_line (start 54.927829 75.800682) (end 53.933928 75.800009) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5944A))
(gr_line (start 53.933928 75.800009) (end 52.940025 75.806209) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59447))
(gr_line (start 52.940025 75.806209) (end 51.984504 75.821689) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590B7))
(gr_line (start 51.984504 75.821689) (end 51.977704 75.821689) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590B4))
(gr_line (start 51.977704 75.821689) (end 51.972304 75.821689) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590F9))
(gr_line (start 51.972304 75.821689) (end 51.967604 75.821689) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590F6))
(gr_line (start 51.967604 75.821689) (end 51.961404 75.821689) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590B1))
(gr_line (start 51.961404 75.821689) (end 51.545258 75.830389) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590AE))
(gr_line (start 51.545258 75.830389) (end 51.174902 75.845209) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5917A))
(gr_line (start 51.174902 75.845209) (end 50.846968 75.865399) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59177))
(gr_line (start 50.846968 75.865399) (end 50.557416 75.890989) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590E1))
(gr_line (start 50.557416 75.890989) (end 50.302881 75.922639) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590DE))
(gr_line (start 50.302881 75.922639) (end 50.07932 75.960349) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59420))
(gr_line (start 50.07932 75.960349) (end 49.884041 76.003439) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5941D))
(gr_line (start 49.884041 76.003439) (end 49.71233 76.053269) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59051))
(gr_line (start 49.71233 76.053269) (end 49.558128 76.109839) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5904E))
(gr_line (start 49.558128 76.109839) (end 49.412677 76.175159) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59162))
(gr_line (start 49.412677 76.175159) (end 49.271944 76.251239) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5915F))
(gr_line (start 49.271944 76.251239) (end 49.131881 76.338099) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5915C))
(gr_line (start 49.131881 76.338099) (end 48.987778 76.437759) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59159))
(gr_line (start 48.987778 76.437759) (end 48.834923 76.552234) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59456))
(gr_line (start 48.834923 76.552234) (end 48.488134 76.828991) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59453))
(gr_line (start 48.488134 76.828991) (end 48.453124 76.851891) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59270))
(gr_line (start 48.453124 76.851891) (end 48.453124 76.859891) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5926D))
(gr_line (start 48.453124 76.859891) (end 48.301614 76.98918) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590C3))
(gr_line (start 48.301614 76.98918) (end 48.117783 77.154156) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590C0))
(gr_line (start 48.117783 77.154156) (end 47.919811 77.328561) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59057))
(gr_line (start 47.919811 77.328561) (end 47.70433 77.507678) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59054))
(gr_line (start 47.70433 77.507678) (end 47.466629 77.686795) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5913E))
(gr_line (start 47.466629 77.686795) (end 47.33936 77.774335) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5913B))
(gr_line (start 47.33936 77.774335) (end 47.204012 77.859855) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59198))
(gr_line (start 47.204012 77.859855) (end 47.061255 77.942675) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59195))
(gr_line (start 47.061255 77.942675) (end 46.911093 78.022805) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59168))
(gr_line (start 46.911093 78.022805) (end 46.751503 78.098225) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59165))
(gr_line (start 46.751503 78.098225) (end 46.583159 78.169615) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5905D))
(gr_line (start 46.583159 78.169615) (end 46.400001 78.236955) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5905A))
(gr_line (start 46.400001 78.236955) (end 46.213475 78.292845) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5925E))
(gr_line (start 46.213475 78.292845) (end 46.023584 78.337965) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5925B))
(gr_line (start 46.023584 78.337965) (end 45.830325 78.372975) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59132))
(gr_line (start 45.830325 78.372975) (end 45.633025 78.397215) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5912F))
(gr_line (start 45.633025 78.397215) (end 45.431687 78.412035) (layer Edge.Cuts) (width 0.1) (tstamp 5DE591B6))
(gr_line (start 45.431687 78.412035) (end 45.227654 78.416735) (layer Edge.Cuts) (width 0.1) (tstamp 5DE591B3))
(gr_line (start 45.227654 78.416735) (end 45.019581 78.411335) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5916E))
(gr_line (start 45.019581 78.411335) (end 44.807467 78.397195) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5916B))
(gr_line (start 44.807467 78.397195) (end 44.592661 78.373625) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590BD))
(gr_line (start 44.592661 78.373625) (end 44.373813 78.340635) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590BA))
(gr_line (start 44.373813 78.340635) (end 44.1516 78.298885) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59414))
(gr_line (start 44.1516 78.298885) (end 43.925346 78.248375) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59411))
(gr_line (start 43.925346 78.248375) (end 43.696398 78.189125) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590ED))
(gr_line (start 43.696398 78.189125) (end 43.463611 78.122545) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590EA))
(gr_line (start 43.463611 78.122545) (end 43.227257 78.047125) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59174))
(gr_line (start 43.227257 78.047125) (end 42.807061 77.902473) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59171))
(gr_line (start 42.807061 77.902473) (end 42.414483 77.759046) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590CF))
(gr_line (start 42.414483 77.759046) (end 42.044123 77.617636) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590CC))
(gr_line (start 42.044123 77.617636) (end 41.690599 77.477575) (layer Edge.Cuts) (width 0.1) (tstamp 5DE591A4))
(gr_line (start 41.690599 77.477575) (end 41.015203 77.202163) (layer Edge.Cuts) (width 0.1) (tstamp 5DE591A1))
(gr_line (start 41.015203 77.202163) (end 40.347888 76.934834) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590F3))
(gr_line (start 40.347888 76.934834) (end 40.004466 76.803526) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590F0))
(gr_line (start 40.004466 76.803526) (end 39.647577 76.674913) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59258))
(gr_line (start 39.647577 76.674913) (end 39.272507 76.548317) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59255))
(gr_line (start 39.272507 76.548317) (end 38.874542 76.424414) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5914A))
(gr_line (start 38.874542 76.424414) (end 38.447622 76.303209) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59147))
(gr_line (start 38.447622 76.303209) (end 37.98838 76.184694) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590E7))
(gr_line (start 37.98838 76.184694) (end 37.490082 76.068876) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590E4))
(gr_line (start 37.490082 76.068876) (end 36.949362 75.955748) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5926A))
(gr_line (start 36.949362 75.955748) (end 35.953439 75.756426) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59267))
(gr_line (start 35.953439 75.756426) (end 35.304979 75.621752) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5946E))
(gr_line (start 35.304979 75.621752) (end 34.903647 75.530852) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5946B))
(gr_line (start 34.903647 75.530852) (end 34.647091 75.464862) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5927C))
(gr_line (start 34.647091 75.464862) (end 34.325217 75.372612) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59279))
(gr_line (start 34.325217 75.372612) (end 34.045766 75.305952) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59186))
(gr_line (start 34.045766 75.305952) (end 33.50976 75.187439) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59183))
(gr_line (start 33.50976 75.187439) (end 33.282159 75.134239) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5943E))
(gr_line (start 33.282159 75.134239) (end 33.059271 75.074309) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5943B))
(gr_line (start 33.059271 75.074309) (end 32.842445 75.006979) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59192))
(gr_line (start 32.842445 75.006979) (end 32.631678 74.932229) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5918F))
(gr_line (start 32.631678 74.932229) (end 32.426972 74.850079) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5918C))
(gr_line (start 32.426972 74.850079) (end 32.229673 74.759169) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59189))
(gr_line (start 32.229673 74.759169) (end 32.040454 74.660179) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59111))
(gr_line (start 32.040454 74.660179) (end 31.859316 74.553111) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5910E))
(gr_line (start 31.859316 74.553111) (end 31.686932 74.43729) (layer Edge.Cuts) (width 0.1) (tstamp 5DE591B0))
(gr_line (start 31.686932 74.43729) (end 31.524649 74.312716) (layer Edge.Cuts) (width 0.1) (tstamp 5DE591AD))
(gr_line (start 31.524649 74.312716) (end 31.371793 74.178714) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59450))
(gr_line (start 31.371793 74.178714) (end 31.229037 74.035288) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5944D))
(gr_line (start 31.229037 74.035288) (end 31.097729 73.883103) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5911A))
(gr_line (start 31.097729 73.883103) (end 30.977868 73.720148) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59117))
(gr_line (start 30.977868 73.720148) (end 30.870128 73.547763) (layer Edge.Cuts) (width 0.1) (tstamp 5DE592B2))
(gr_line (start 30.870128 73.547763) (end 30.775182 73.364602) (layer Edge.Cuts) (width 0.1) (tstamp 5DE592AF))
(gr_line (start 30.775182 73.364602) (end 30.696397 73.180772) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5940E))
(gr_line (start 30.696397 73.180772) (end 30.633773 72.998287) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5940B))
(gr_line (start 30.633773 72.998287) (end 30.586637 72.818497) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59138))
(gr_line (start 30.586637 72.818497) (end 30.552968 72.641399) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59135))
(gr_line (start 30.552968 72.641399) (end 30.53142 72.468343) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59462))
(gr_line (start 30.53142 72.468343) (end 30.520646 72.299323) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5945F))
(gr_line (start 30.520646 72.299323) (end 30.520646 72.13502) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5942C))
(gr_line (start 30.520646 72.13502) (end 30.528726 71.976103) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59429))
(gr_line (start 30.528726 71.976103) (end 30.544213 71.823247) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5919E))
(gr_line (start 30.544213 71.823247) (end 30.565762 71.676451) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5919B))
(gr_line (start 30.565762 71.676451) (end 30.592024 71.537061) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59444))
(gr_line (start 30.592024 71.537061) (end 30.621653 71.406429) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59441))
(gr_line (start 30.621653 71.406429) (end 30.686296 71.168725) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59264))
(gr_line (start 30.686296 71.168725) (end 30.750267 70.97008) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59261))
(gr_line (start 30.750267 70.97008) (end 30.876188 70.607804) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59156))
(gr_line (start 30.876188 70.607804) (end 30.995375 70.281219) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59153))
(gr_line (start 30.995375 70.281219) (end 31.097728 69.982239) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590DB))
(gr_line (start 31.097728 69.982239) (end 31.14015 69.84083) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590D8))
(gr_line (start 31.14015 69.84083) (end 31.174492 69.70346) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590AB))
(gr_line (start 31.174492 69.70346) (end 31.197387 69.579565) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590A8))
(gr_line (start 31.197387 69.579565) (end 31.211528 69.455656) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59105))
(gr_line (start 31.211528 69.455656) (end 31.216908 69.330406) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59102))
(gr_line (start 31.216908 69.330406) (end 31.212868 69.202472) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59438))
(gr_line (start 31.212868 69.202472) (end 31.199401 69.071162) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59435))
(gr_line (start 31.199401 69.071162) (end 31.176506 68.934469) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59144))
(gr_line (start 31.176506 68.934469) (end 31.144184 68.792386) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59141))
(gr_line (start 31.144184 68.792386) (end 31.114555 68.688684) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59468))
(gr_line (start 31.114555 68.688684) (end 31.136102 68.675214) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59465))
(gr_line (start 31.136102 68.675214) (end 31.097047 68.56412) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59150))
(gr_line (start 31.097047 68.56412) (end 31.097047 68.55398) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5914D))
(gr_line (start 31.097047 68.55398) (end 31.088287 68.52905) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59120))
(gr_line (start 31.088287 68.52905) (end 30.96708 68.152636) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5911D))
(gr_line (start 30.96708 68.152636) (end 30.914556 67.962743) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5941A))
(gr_line (start 30.914556 67.962743) (end 30.866747 67.770833) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59417))
(gr_line (start 30.866747 67.770833) (end 30.824325 67.576901) (layer Edge.Cuts) (width 0.1) (tstamp 5DE59180))
(gr_line (start 30.824325 67.576901) (end 30.788637 67.382292) (layer Edge.Cuts) (width 0.1) (tstamp 5DE5917D))
(gr_line (start 30.788637 67.382292) (end 30.759682 67.186339) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590D5))
(gr_line (start 30.759682 67.186339) (end 30.737461 66.989042) (layer Edge.Cuts) (width 0.1) (tstamp 5DE590D2))
(gr_line (start 30.737461 66.989042) (end 30.72332 66.791069) (layer Edge.Cuts) (width 0.1) (tstamp 5DE591AA))
(gr_line (start 30.72332 66.791069) (end 30.71726 66.592425) (layer Edge.Cuts) (width 0.1) (tstamp 5DE591A7))
(gr_line (start 30.71726 66.592425) (end 30.71928 66.393104) (layer Edge.Cuts) (width 0.1) (tstamp 5DE592B8))
(gr_line (start 30.71928 66.393104) (end 30.730056 66.19311) (layer Edge.Cuts) (width 0.1) (tstamp 5DE592B5))
(gr_line (start 30.730056 66.19311) (end 30.750931 65.992445) (layer Edge.Cuts) (width 0.1))
(gr_line (start 30.750931 65.992445) (end 30.781232 65.792452) (layer Edge.Cuts) (width 0.1))
(gr_line (start 30.781232 65.792452) (end 30.821635 65.591786) (layer Edge.Cuts) (width 0.1))
(gr_line (start 30.821635 65.591786) (end 30.872811 65.391793) (layer Edge.Cuts) (width 0.1))
(gr_line (start 30.872811 65.391793) (end 30.945536 65.170256) (layer Edge.Cuts) (width 0.1))
(gr_line (start 30.945536 65.170256) (end 31.036441 64.954774) (layer Edge.Cuts) (width 0.1))
(gr_line (start 31.036441 64.954774) (end 31.144855 64.746701) (layer Edge.Cuts) (width 0.1))
(gr_line (start 31.144855 64.746701) (end 31.269428 64.546707) (layer Edge.Cuts) (width 0.1))
(gr_line (start 31.269428 64.546707) (end 31.410164 64.356143) (layer Edge.Cuts) (width 0.1))
(gr_line (start 31.410164 64.356143) (end 31.566387 64.17635) (layer Edge.Cuts) (width 0.1))
(gr_line (start 31.566387 64.17635) (end 31.736078 64.008007) (layer Edge.Cuts) (width 0.1))
(gr_line (start 31.736078 64.008007) (end 31.919236 63.852459) (layer Edge.Cuts) (width 0.1))
(gr_line (start 31.919236 63.852459) (end 32.115188 63.711048) (layer Edge.Cuts) (width 0.1))
(gr_line (start 32.115188 63.711048) (end 32.322588 63.584456) (layer Edge.Cuts) (width 0.1))
(gr_line (start 32.322588 63.584456) (end 32.542108 63.474026) (layer Edge.Cuts) (width 0.1))
(gr_line (start 32.542108 63.474026) (end 32.654562 63.425536) (layer Edge.Cuts) (width 0.1))
(gr_line (start 32.654562 63.425536) (end 32.770384 63.381766) (layer Edge.Cuts) (width 0.1))
(gr_line (start 32.770384 63.381766) (end 32.888225 63.342706) (layer Edge.Cuts) (width 0.1))
(gr_line (start 32.888225 63.342706) (end 33.008085 63.307696) (layer Edge.Cuts) (width 0.1))
(gr_line (start 33.008085 63.307696) (end 33.129966 63.278056) (layer Edge.Cuts) (width 0.1))
(gr_line (start 33.129966 63.278056) (end 33.25454 63.253806) (layer Edge.Cuts) (width 0.1))
(gr_line (start 33.25454 63.253806) (end 33.381135 63.234266) (layer Edge.Cuts) (width 0.1))
(gr_line (start 33.381135 63.234266) (end 33.509076 63.220126) (layer Edge.Cuts) (width 0.1))
(gr_line (start 33.509076 63.220126) (end 33.639037 63.211426) (layer Edge.Cuts) (width 0.1))
(gr_line (start 33.639037 63.211426) (end 33.771018 63.208726) (layer Edge.Cuts) (width 0.1))
(gr_line (start 33.771018 63.208726) (end 34.359548 63.206026) (layer Edge.Cuts) (width 0.1))
(gr_line (start 34.359548 63.206026) (end 34.8565 63.199226) (layer Edge.Cuts) (width 0.1))
(gr_line (start 34.8565 63.199226) (end 35.212042 63.188386) (layer Edge.Cuts) (width 0.1))
(gr_line (start 35.212042 63.188386) (end 35.388466 63.174246) (layer Edge.Cuts) (width 0.1))
(gr_line (start 35.388466 63.174246) (end 35.443683 63.147986) (layer Edge.Cuts) (width 0.1))
(gr_line (start 35.443683 63.147986) (end 35.490818 63.113656) (layer Edge.Cuts) (width 0.1))
(gr_line (start 35.490818 63.113656) (end 35.531221 63.069206) (layer Edge.Cuts) (width 0.1))
(gr_line (start 35.531221 63.069206) (end 35.55479 63.020056) (layer Edge.Cuts) (width 0.1))
(gr_line (start 35.55479 63.020056) (end 35.60058 62.900187) (layer Edge.Cuts) (width 0.1))
(gr_line (start 35.60058 62.900187) (end 35.657817 62.724438) (layer Edge.Cuts) (width 0.1))
(gr_line (start 35.657817 62.724438) (end 35.7063 62.545993) (layer Edge.Cuts) (width 0.1))
(gr_line (start 35.7063 62.545993) (end 35.748049 62.348691) (layer Edge.Cuts) (width 0.1))
(gr_line (start 35.748049 62.348691) (end 35.796532 62.045) (layer Edge.Cuts) (width 0.1))
(gr_line (start 35.796532 62.045) (end 35.832894 61.864537) (layer Edge.Cuts) (width 0.1))
(gr_line (start 35.832894 61.864537) (end 35.881377 61.667237) (layer Edge.Cuts) (width 0.1))
(gr_line (start 35.881377 61.667237) (end 35.928514 61.517072) (layer Edge.Cuts) (width 0.1))
(gr_line (start 35.928514 61.517072) (end 35.983731 61.383745) (layer Edge.Cuts) (width 0.1))
(gr_line (start 35.983731 61.383745) (end 36.044335 61.263212) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.044335 61.263212) (end 36.110326 61.153458) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.110326 61.153458) (end 36.17901 61.051784) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.17901 61.051784) (end 36.249714 60.954824) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.249714 60.954824) (end 36.406611 60.744732) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.406611 60.744732) (end 36.365534 60.581102) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.365534 60.581102) (end 36.352738 60.511072) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.352738 60.511072) (end 36.344658 60.413432) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.344658 60.413432) (end 36.344658 60.410032) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.344658 60.410032) (end 36.344658 60.407332) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.344658 60.407332) (end 36.344658 60.40666) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.344658 60.40666) (end 36.344658 60.39319) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.344658 60.39319) (end 36.331862 60.025526) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.331862 60.025526) (end 36.330522 59.83025) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.330522 59.83025) (end 36.333882 59.626888) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.333882 59.626888) (end 36.342642 59.414777) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.342642 59.414777) (end 36.359476 59.194583) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.359476 59.194583) (end 36.385064 58.965633) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.385064 58.965633) (end 36.420753 58.727933) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.420753 58.727933) (end 36.467216 58.481478) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.467216 58.481478) (end 36.526473 58.226942) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.526473 58.226942) (end 36.600545 57.962977) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.600545 57.962977) (end 36.688757 57.690934) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.688757 57.690934) (end 36.794477 57.409463) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.794477 57.409463) (end 36.917704 57.119235) (layer Edge.Cuts) (width 0.1))
(gr_line (start 36.917704 57.119235) (end 37.061133 56.82026) (layer Edge.Cuts) (width 0.1))
(gr_line (start 37.061133 56.82026) (end 37.232844 56.496365) (layer Edge.Cuts) (width 0.1))
(gr_line (start 37.232844 56.496365) (end 37.232844 56.494265) (layer Edge.Cuts) (width 0.1))
(gr_line (start 37.232844 56.494265) (end 37.504215 55.995968) (layer Edge.Cuts) (width 0.1))
(gr_line (start 37.504215 55.995968) (end 37.778278 55.464678) (layer Edge.Cuts) (width 0.1))
(gr_line (start 37.778278 55.464678) (end 38.049649 54.906449) (layer Edge.Cuts) (width 0.1))
(gr_line (start 38.049649 54.906449) (end 38.321019 54.311857) (layer Edge.Cuts) (width 0.1))
(gr_line (start 38.321019 54.311857) (end 38.595756 53.671476) (layer Edge.Cuts) (width 0.1))
(gr_line (start 38.595756 53.671476) (end 38.876554 52.97588) (layer Edge.Cuts) (width 0.1))
(gr_line (start 38.876554 52.97588) (end 39.165432 52.215639) (layer Edge.Cuts) (width 0.1))
(gr_line (start 39.165432 52.215639) (end 39.466431 51.382) (layer Edge.Cuts) (width 0.1))
(gr_line (start 39.466431 51.382) (end 39.640162 50.910638) (layer Edge.Cuts) (width 0.1))
(gr_line (start 39.640162 50.910638) (end 39.815239 50.479003) (layer Edge.Cuts) (width 0.1))
(gr_line (start 39.815239 50.479003) (end 39.992337 50.082384) (layer Edge.Cuts) (width 0.1))
(gr_line (start 39.992337 50.082384) (end 40.171455 49.716069) (layer Edge.Cuts) (width 0.1))
(gr_line (start 40.171455 49.716069) (end 40.353266 49.376014) (layer Edge.Cuts) (width 0.1))
(gr_line (start 40.353266 49.376014) (end 40.538445 49.056833) (layer Edge.Cuts) (width 0.1))
(gr_line (start 40.538445 49.056833) (end 40.727663 48.755161) (layer Edge.Cuts) (width 0.1))
(gr_line (start 40.727663 48.755161) (end 40.921595 48.46561) (layer Edge.Cuts) (width 0.1))
(gr_line (start 40.921595 48.46561) (end 41.120241 48.18414) (layer Edge.Cuts) (width 0.1))
(gr_line (start 41.120241 48.18414) (end 41.324273 47.906035) (layer Edge.Cuts) (width 0.1))
(gr_line (start 41.324273 47.906035) (end 41.751193 47.342419) (layer Edge.Cuts) (width 0.1))
(gr_line (start 41.751193 47.342419) (end 42.206399 46.739749) (layer Edge.Cuts) (width 0.1))
(gr_line (start 42.206399 46.739749) (end 42.445447 46.412488) (layer Edge.Cuts) (width 0.1))
(gr_line (start 42.445447 46.412488) (end 42.692577 46.062334) (layer Edge.Cuts) (width 0.1))
(gr_line (start 42.692577 46.062334) (end 42.887184 45.782209) (layer Edge.Cuts) (width 0.1))
(gr_line (start 42.887184 45.782209) (end 43.404336 45.017254) (layer Edge.Cuts) (width 0.1))
(gr_line (start 43.404336 45.017254) (end 43.709375 44.551952) (layer Edge.Cuts) (width 0.1))
(gr_line (start 43.709375 44.551952) (end 44.012394 44.104831) (layer Edge.Cuts) (width 0.1))
(gr_line (start 44.012394 44.104831) (end 44.522812 43.393073) (layer Edge.Cuts) (width 0.1))
(gr_line (start 44.522812 43.393073) (end 44.800244 43.002514) (layer Edge.Cuts) (width 0.1))
(gr_line (start 44.800244 43.002514) (end 44.943673 42.785014) (layer Edge.Cuts) (width 0.1))
(gr_line (start 44.943673 42.785014) (end 44.941573 42.755374) (layer Edge.Cuts) (width 0.1))
(gr_line (start 44.941573 42.755374) (end 44.938873 42.731804) (layer Edge.Cuts) (width 0.1))
(gr_line (start 44.938873 42.731804) (end 44.916653 42.39175) (layer Edge.Cuts) (width 0.1))
(gr_line (start 44.916653 42.39175) (end 44.880963 41.844969) (layer Edge.Cuts) (width 0.1))
(gr_line (start 44.880963 41.844969) (end 44.845273 41.0854) (layer Edge.Cuts) (width 0.1))
(gr_line (start 44.845273 41.0854) (end 44.782643 39.587814) (layer Edge.Cuts) (width 0.1))
(gr_line (start 44.782643 39.587814) (end 44.720013 38.189213) (layer Edge.Cuts) (width 0.1))
(gr_line (start 44.720013 38.189213) (end 44.656043 36.655936) (layer Edge.Cuts) (width 0.1))
(gr_line (start 44.656043 36.655936) (end 44.593413 34.75769) (layer Edge.Cuts) (width 0.1))
(gr_line (start 44.593413 34.75769) (end 44.620343 32.717362) (layer Edge.Cuts) (width 0.1))
(gr_line (start 44.620343 32.717362) (end 44.634483 32.451379) (layer Edge.Cuts) (width 0.1))
(gr_line (start 44.634483 32.451379) (end 44.659403 32.165868) (layer Edge.Cuts) (width 0.1))
(gr_line (start 44.659403 32.165868) (end 44.695773 31.862176) (layer Edge.Cuts) (width 0.1))
(gr_line (start 44.695773 31.862176) (end 44.744923 31.543669) (layer Edge.Cuts) (width 0.1))
(gr_line (start 44.744923 31.543669) (end 44.806863 31.212368) (layer Edge.Cuts) (width 0.1))
(gr_line (start 44.806863 31.212368) (end 44.881603 30.870967) (layer Edge.Cuts) (width 0.1))
(gr_line (start 44.881603 30.870967) (end 44.971163 30.520812) (layer Edge.Cuts) (width 0.1))
(gr_line (start 44.971163 30.520812) (end 45.075542 30.165943) (layer Edge.Cuts) (width 0.1))
(gr_line (start 45.075542 30.165943) (end 45.194733 29.807033) (layer Edge.Cuts) (width 0.1))
(gr_line (start 45.194733 29.807033) (end 45.33008 29.447451) (layer Edge.Cuts) (width 0.1))
(gr_line (start 45.33008 29.447451) (end 45.481589 29.089888) (layer Edge.Cuts) (width 0.1))
(gr_line (start 45.481589 29.089888) (end 45.650606 28.735693) (layer Edge.Cuts) (width 0.1))
(gr_line (start 45.650606 28.735693) (end 45.837805 28.387558) (layer Edge.Cuts) (width 0.1))
(gr_line (start 45.837805 28.387558) (end 45.937465 28.216521) (layer Edge.Cuts) (width 0.1))
(gr_line (start 45.937465 28.216521) (end 46.042508 28.048177) (layer Edge.Cuts) (width 0.1))
(gr_line (start 46.042508 28.048177) (end 46.152262 27.882527) (layer Edge.Cuts) (width 0.1))
(gr_line (start 46.152262 27.882527) (end 46.266061 27.71957) (layer Edge.Cuts) (width 0.1))
(gr_line (start 46.266061 27.71957) (end 46.385252 27.559979) (layer Edge.Cuts) (width 0.1))
(gr_line (start 46.385252 27.559979) (end 46.509825 27.40443) (layer Edge.Cuts) (width 0.1))
(gr_line (start 46.509825 27.40443) (end 46.650561 27.238106) (layer Edge.Cuts) (width 0.1))
(gr_line (start 46.650561 27.238106) (end 46.796009 27.078516) (layer Edge.Cuts) (width 0.1))
(gr_line (start 46.796009 27.078516) (end 46.946173 26.924313) (layer Edge.Cuts) (width 0.1))
(gr_line (start 46.946173 26.924313) (end 47.10105 26.776171) (layer Edge.Cuts) (width 0.1))
(gr_line (start 47.10105 26.776171) (end 47.259966 26.634088) (layer Edge.Cuts) (width 0.1))
(gr_line (start 47.259966 26.634088) (end 47.422923 26.49672) (layer Edge.Cuts) (width 0.1))
(gr_line (start 47.422923 26.49672) (end 47.590593 26.365411) (layer Edge.Cuts) (width 0.1))
(gr_line (start 47.590593 26.365411) (end 47.76163 26.23949) (layer Edge.Cuts) (width 0.1))
(gr_line (start 47.76163 26.23949) (end 47.937382 26.118955) (layer Edge.Cuts) (width 0.1))
(gr_line (start 47.937382 26.118955) (end 48.117174 26.004481) (layer Edge.Cuts) (width 0.1))
(gr_line (start 48.117174 26.004481) (end 48.300332 25.894721) (layer Edge.Cuts) (width 0.1))
(gr_line (start 48.300332 25.894721) (end 48.48753 25.790348) (layer Edge.Cuts) (width 0.1))
(gr_line (start 48.48753 25.790348) (end 48.678094 25.692035) (layer Edge.Cuts) (width 0.1))
(gr_line (start 48.678094 25.692035) (end 48.872701 25.597763) (layer Edge.Cuts) (width 0.1))
(gr_line (start 48.872701 25.597763) (end 49.070673 25.509551) (layer Edge.Cuts) (width 0.1))
(gr_line (start 49.070673 25.509551) (end 49.272012 25.426726) (layer Edge.Cuts) (width 0.1))
(gr_line (start 49.272012 25.426726) (end 49.476718 25.348614) (layer Edge.Cuts) (width 0.1))
(gr_line (start 49.476718 25.348614) (end 49.684791 25.275216) (layer Edge.Cuts) (width 0.1))
(gr_line (start 49.684791 25.275216) (end 49.895557 25.207205) (layer Edge.Cuts) (width 0.1))
(gr_line (start 49.895557 25.207205) (end 50.109691 25.143907) (layer Edge.Cuts) (width 0.1))
(gr_line (start 50.109691 25.143907) (end 50.327191 25.085997) (layer Edge.Cuts) (width 0.1))
(gr_line (start 50.327191 25.085997) (end 50.547385 25.0328) (layer Edge.Cuts) (width 0.1))
(gr_line (start 50.547385 25.0328) (end 50.770272 24.984317) (layer Edge.Cuts) (width 0.1))
(gr_line (start 50.770272 24.984317) (end 50.995181 24.941221) (layer Edge.Cuts) (width 0.1))
(gr_line (start 50.995181 24.941221) (end 51.454422 24.868497) (layer Edge.Cuts) (width 0.1))
(gr_line (start 51.454422 24.868497) (end 51.923091 24.813954) (layer Edge.Cuts) (width 0.1))
(gr_line (start 51.923091 24.813954) (end 52.401189 24.778266) (layer Edge.Cuts) (width 0.1))
(gr_line (start 52.401189 24.778266) (end 52.887365 24.760758) (layer Edge.Cuts) (width 0.1))
(gr_line (start 52.887365 24.760758) (end 52.893565 24.760758) (layer Edge.Cuts) (width 0.1))
(gr_line (start 52.893565 24.760758) (end 53.379067 24.760389) (layer Edge.Cuts) (width 0.1))
(gr_line (start 53.379067 24.760389) (end 53.378992 24.760352) (layer Edge.Cuts) (width 0.1))
(segment (start 49.796 38.674) (end 49.393 38.674) (width 0.25) (layer B.Cu) (net 1))
(segment (start 50.8 37.67) (end 49.796 38.674) (width 0.25) (layer B.Cu) (net 1))
(segment (start 49.393 38.674) (end 48.768 38.674) (width 0.25) (layer B.Cu) (net 1))
(segment (start 50.8 36.67) (end 50.8 37.67) (width 0.25) (layer B.Cu) (net 1))
(segment (start 43.604 61.8314) (end 41.1988 64.2366) (width 0.25) (layer B.Cu) (net 1))
(segment (start 43.688 53.34) (end 43.604 61.8314) (width 0.25) (layer B.Cu) (net 1))
(segment (start 44.704 52.324) (end 43.688 53.34) (width 0.25) (layer B.Cu) (net 1))
(segment (start 47.498 39.319) (end 47.498 46.482) (width 0.25) (layer B.Cu) (net 1))
(segment (start 48.768 38.674) (end 48.143 38.674) (width 0.25) (layer B.Cu) (net 1))
(segment (start 47.498 46.482) (end 44.704 49.276) (width 0.25) (layer B.Cu) (net 1))
(segment (start 48.143 38.674) (end 47.498 39.319) (width 0.25) (layer B.Cu) (net 1))
(segment (start 44.704 49.276) (end 44.704 52.324) (width 0.25) (layer B.Cu) (net 1))
(segment (start 51.816 32.498499) (end 51.816 34.654) (width 0.25) (layer B.Cu) (net 1))
(segment (start 50.8 35.67) (end 50.8 36.67) (width 0.25) (layer B.Cu) (net 1))
(segment (start 52.056499 32.258) (end 51.816 32.498499) (width 0.25) (layer B.Cu) (net 1))
(segment (start 53.594 32.258) (end 52.056499 32.258) (width 0.25) (layer B.Cu) (net 1))
(segment (start 53.848 32.004) (end 53.594 32.258) (width 0.25) (layer B.Cu) (net 1))
(segment (start 58.928 30.308) (end 58.338 29.718) (width 0.25) (layer B.Cu) (net 1))
(segment (start 58.928 31.158) (end 58.928 30.308) (width 0.25) (layer B.Cu) (net 1))
(segment (start 54.102 29.718) (end 53.848 29.972) (width 0.25) (layer B.Cu) (net 1))
(segment (start 51.816 34.654) (end 50.8 35.67) (width 0.25) (layer B.Cu) (net 1))
(segment (start 58.338 29.718) (end 54.102 29.718) (width 0.25) (layer B.Cu) (net 1))
(segment (start 53.848 29.972) (end 53.848 32.004) (width 0.25) (layer B.Cu) (net 1))
(segment (start 67.0306 57.8866) (end 67.0306 64.2366) (width 0.25) (layer B.Cu) (net 1))
(segment (start 64.531817 55.387817) (end 67.0306 57.8866) (width 0.25) (layer B.Cu) (net 1))
(segment (start 49.393 40.574) (end 48.768 40.574) (width 0.25) (layer B.Cu) (net 2))
(segment (start 50.304 40.574) (end 49.393 40.574) (width 0.25) (layer B.Cu) (net 2))
(segment (start 50.8 41.07) (end 50.304 40.574) (width 0.25) (layer B.Cu) (net 2))
(segment (start 50.8 42.07) (end 50.8 41.07) (width 0.25) (layer B.Cu) (net 2))
(segment (start 40.048 71.374) (end 37.93 71.374) (width 0.25) (layer B.Cu) (net 3))
(segment (start 53.34 43.18) (end 53.34 42.07) (width 0.25) (layer B.Cu) (net 4))
(segment (start 54.356 44.196) (end 53.34 43.18) (width 0.25) (layer B.Cu) (net 4))
(segment (start 43.434 71.374) (end 48.768 66.04) (width 0.25) (layer B.Cu) (net 4))
(segment (start 42.248 71.374) (end 43.434 71.374) (width 0.25) (layer B.Cu) (net 4))
(segment (start 48.768 66.04) (end 48.768 60.452) (width 0.25) (layer B.Cu) (net 4))
(segment (start 54.356 54.864) (end 54.356 44.196) (width 0.25) (layer B.Cu) (net 4))
(segment (start 48.768 60.452) (end 54.356 54.864) (width 0.25) (layer B.Cu) (net 4))
(segment (start 44.45 69.088) (end 48.006 65.532) (width 0.25) (layer B.Cu) (net 5))
(segment (start 53.594 44.594) (end 52.07 43.07) (width 0.25) (layer B.Cu) (net 5))
(segment (start 42.248 69.088) (end 44.45 69.088) (width 0.25) (layer B.Cu) (net 5))
(segment (start 48.006 65.532) (end 48.006 59.944) (width 0.25) (layer B.Cu) (net 5))
(segment (start 52.07 43.07) (end 52.07 42.07) (width 0.25) (layer B.Cu) (net 5))
(segment (start 53.594 54.356) (end 53.594 44.594) (width 0.25) (layer B.Cu) (net 5))
(segment (start 48.006 59.944) (end 53.594 54.356) (width 0.25) (layer B.Cu) (net 5))
(segment (start 40.048 69.088) (end 37.93 69.088) (width 0.25) (layer B.Cu) (net 6))
(segment (start 70.274 68.58) (end 67.902 68.58) (width 0.25) (layer B.Cu) (net 7))
(segment (start 55.88 48.768) (end 55.88 42.07) (width 0.25) (layer B.Cu) (net 8))
(segment (start 64.262 68.58) (end 60.96 65.278) (width 0.25) (layer B.Cu) (net 8))
(segment (start 65.702 68.58) (end 64.262 68.58) (width 0.25) (layer B.Cu) (net 8))
(segment (start 60.96 65.278) (end 60.96 59.944) (width 0.25) (layer B.Cu) (net 8))
(segment (start 60.96 59.944) (end 55.88 54.864) (width 0.25) (layer B.Cu) (net 8))
(segment (start 55.88 54.864) (end 55.88 48.768) (width 0.25) (layer B.Cu) (net 8))
(segment (start 54.61 43.07) (end 54.61 42.07) (width 0.25) (layer B.Cu) (net 9))
(segment (start 55.118 43.578) (end 54.61 43.07) (width 0.25) (layer B.Cu) (net 9))
(segment (start 55.118 55.291587) (end 55.118 43.578) (width 0.25) (layer B.Cu) (net 9))
(segment (start 60.198 60.371587) (end 55.118 55.291587) (width 0.25) (layer B.Cu) (net 9))
(segment (start 65.702 70.866) (end 64.77 70.866) (width 0.25) (layer B.Cu) (net 9))
(segment (start 64.77 70.866) (end 60.198 66.294) (width 0.25) (layer B.Cu) (net 9))
(segment (start 60.198 66.294) (end 60.198 60.371587) (width 0.25) (layer B.Cu) (net 9))
(segment (start 70.274 70.866) (end 67.902 70.866) (width 0.25) (layer B.Cu) (net 10))
(segment (start 56.896 31.158) (end 54.864 31.158) (width 0.25) (layer B.Cu) (net 11))
(segment (start 53.34 35.732) (end 53.34 36.67) (width 0.25) (layer B.Cu) (net 12))
(segment (start 54.864 34.208) (end 53.34 35.732) (width 0.25) (layer B.Cu) (net 12))
(segment (start 54.864 33.358) (end 54.864 34.208) (width 0.25) (layer B.Cu) (net 12))
(segment (start 52.07 35.67) (end 52.07 36.67) (width 0.25) (layer B.Cu) (net 13))
(segment (start 52.832 34.908) (end 52.07 35.67) (width 0.25) (layer B.Cu) (net 13))
(segment (start 52.832 33.358) (end 52.832 34.908) (width 0.25) (layer B.Cu) (net 13))
(segment (start 52.832 31.158) (end 50.8 31.158) (width 0.25) (layer B.Cu) (net 14))
(segment (start 59.908 50.764) (end 58.082 50.764) (width 0.25) (layer B.Cu) (net 15))
(segment (start 62.976183 53.832183) (end 59.908 50.764) (width 0.25) (layer B.Cu) (net 15))
(segment (start 57.658 46.094) (end 57.658 45.466) (width 0.25) (layer B.Cu) (net 15))
(segment (start 55.88 38.608) (end 55.88 36.67) (width 0.25) (layer B.Cu) (net 15))
(segment (start 57.658 45.466) (end 56.524999 44.332999) (width 0.25) (layer B.Cu) (net 15))
(segment (start 56.524999 44.332999) (end 56.524999 39.252999) (width 0.25) (layer B.Cu) (net 15))
(segment (start 57.828 46.264) (end 57.658 46.094) (width 0.25) (layer B.Cu) (net 15))
(segment (start 56.524999 39.252999) (end 55.88 38.608) (width 0.25) (layer B.Cu) (net 15))
(segment (start 57.828 46.264) (end 57.828 50.764) (width 0.25) (layer B.Cu) (net 15))
(segment (start 54.61 36.67) (end 54.61 35.67) (width 0.25) (layer B.Cu) (net 16))
(segment (start 54.61 35.67) (end 54.974 35.306) (width 0.25) (layer B.Cu) (net 16))
(segment (start 58.928 33.208) (end 57.978 32.258) (width 0.25) (layer B.Cu) (net 16))
(segment (start 58.928 33.358) (end 58.928 33.208) (width 0.25) (layer B.Cu) (net 16))
(segment (start 55.88 32.738998) (end 55.88 35.306) (width 0.25) (layer B.Cu) (net 16))
(segment (start 56.360998 32.258) (end 55.88 32.738998) (width 0.25) (layer B.Cu) (net 16))
(segment (start 54.974 35.306) (end 55.88 35.306) (width 0.25) (layer B.Cu) (net 16))
(segment (start 57.978 32.258) (end 56.360998 32.258) (width 0.25) (layer B.Cu) (net 16))
(segment (start 56.134 35.56) (end 59.190501 35.56) (width 0.25) (layer B.Cu) (net 16))
(segment (start 62.738 42.672) (end 62.738 43.434) (width 0.25) (layer B.Cu) (net 16))
(segment (start 55.88 35.306) (end 56.134 35.56) (width 0.25) (layer B.Cu) (net 16))
(segment (start 59.190501 35.56) (end 59.436 35.805499) (width 0.25) (layer B.Cu) (net 16))
(segment (start 59.436 35.805499) (end 59.436 39.37) (width 0.25) (layer B.Cu) (net 16))
(segment (start 59.436 39.37) (end 62.738 42.672) (width 0.25) (layer B.Cu) (net 16))
(segment (start 62.992 49.53) (end 66.548 53.086) (width 0.25) (layer B.Cu) (net 17))
(segment (start 66.548 53.086) (end 68.072 53.086) (width 0.25) (layer B.Cu) (net 17))
(segment (start 62.992 48.006) (end 62.992 49.53) (width 0.25) (layer B.Cu) (net 17))
(segment (start 58.42 42.07) (end 58.42 43.434) (width 0.25) (layer B.Cu) (net 17))
(segment (start 58.42 43.434) (end 62.992 48.006) (width 0.25) (layer B.Cu) (net 17))
(segment (start 59.69 43.73266) (end 64.21734 48.26) (width 0.25) (layer B.Cu) (net 18))
(segment (start 64.21734 48.26) (end 66.04 48.26) (width 0.25) (layer B.Cu) (net 18))
(segment (start 59.69 41.148) (end 59.69 43.73266) (width 0.25) (layer B.Cu) (net 18))
(segment (start 58.42 36.67) (end 58.42 39.878) (width 0.25) (layer B.Cu) (net 18))
(segment (start 58.42 39.878) (end 59.69 41.148) (width 0.25) (layer B.Cu) (net 18))
(segment (start 69.342 57.912) (end 69.342 58.42) (width 0.25) (layer B.Cu) (net 19))
(segment (start 61.722 50.292) (end 69.342 57.912) (width 0.25) (layer B.Cu) (net 19))
(segment (start 61.722 47.88041) (end 61.722 50.292) (width 0.25) (layer B.Cu) (net 19))
(segment (start 57.15 42.07) (end 57.15 43.30841) (width 0.25) (layer B.Cu) (net 19))
(segment (start 57.15 43.30841) (end 61.722 47.88041) (width 0.25) (layer B.Cu) (net 19))
(zone (net 2) (net_name GND) (layer B.Cu) (tstamp 0) (hatch edge 0.508)
(connect_pads (clearance 0.508))
(min_thickness 0.254)
(fill yes (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
(polygon
(pts
(xy 62.992 22.86) (xy 82.804 69.342) (xy 73.406 81.28) (xy 31.75 80.772) (xy 27.686 69.85)
(xy 34.29 52.578) (xy 44.958 22.606)
)
)
(filled_polygon
(pts
(xy 53.333946 25.447222) (xy 53.379321 25.445744) (xy 53.804308 25.461277) (xy 54.233526 25.49248) (xy 54.652592 25.538196)
(xy 55.063322 25.599044) (xy 55.463785 25.673696) (xy 55.855466 25.762772) (xy 56.237301 25.865016) (xy 56.609168 25.980357)
(xy 56.970416 26.107893) (xy 57.320938 26.248354) (xy 57.660766 26.400431) (xy 57.990059 26.565077) (xy 58.308733 26.740036)
(xy 58.614895 26.926097) (xy 58.909817 27.122713) (xy 59.194114 27.330491) (xy 59.465775 27.546953) (xy 59.725139 27.773509)
(xy 59.972665 28.009279) (xy 60.207101 28.253586) (xy 60.429129 28.507068) (xy 60.638178 28.768533) (xy 60.834616 29.038251)
(xy 61.01696 29.314529) (xy 61.185992 29.599115) (xy 61.342126 29.891101) (xy 61.483647 30.188842) (xy 61.611319 30.494519)
(xy 61.724885 30.805752) (xy 61.823567 31.122639) (xy 61.907657 31.446118) (xy 61.971011 31.747497) (xy 61.973317 31.770913)
(xy 61.974563 31.789304) (xy 61.974563 31.799795) (xy 61.976838 31.822889) (xy 61.978404 31.846017) (xy 61.980134 31.856353)
(xy 61.984475 31.900431) (xy 61.991243 31.922743) (xy 62.038959 32.207885) (xy 62.086866 32.524459) (xy 62.170443 33.173325)
(xy 62.239657 33.825207) (xy 62.296667 34.479489) (xy 62.346042 35.132713) (xy 62.388733 35.748942) (xy 62.388711 35.749755)
(xy 62.391053 35.782439) (xy 62.393327 35.81526) (xy 62.393463 35.816065) (xy 62.479422 37.015541) (xy 62.479373 37.022139)
(xy 62.481828 37.049114) (xy 62.483761 37.076088) (xy 62.484874 37.082582) (xy 62.536085 37.64529) (xy 62.536257 37.65435)
(xy 62.539132 37.678775) (xy 62.541362 37.703275) (xy 62.543064 37.712178) (xy 62.606751 38.253183) (xy 62.60721 38.262882)
(xy 62.610687 38.286615) (xy 62.613485 38.310386) (xy 62.615556 38.319852) (xy 62.690207 38.829444) (xy 62.691019 38.839866)
(xy 62.69508 38.862707) (xy 62.698444 38.885673) (xy 62.700967 38.895823) (xy 62.784851 39.367668) (xy 62.786331 39.380446)
(xy 62.790738 39.400776) (xy 62.794378 39.421254) (xy 62.797859 39.433633) (xy 62.88989 39.858239) (xy 62.8917 39.870177)
(xy 62.897009 39.891086) (xy 62.901583 39.912188) (xy 62.905289 39.923692) (xy 62.947606 40.090347) (xy 62.949052 40.098537)
(xy 62.955887 40.122958) (xy 62.962122 40.147513) (xy 62.964946 40.155326) (xy 63.00744 40.307157) (xy 63.009876 40.318643)
(xy 63.0165 40.339526) (xy 63.022409 40.36064) (xy 63.02667 40.371591) (xy 63.071293 40.512276) (xy 63.074699 40.52566)
(xy 63.08146 40.544331) (xy 63.087461 40.563252) (xy 63.092904 40.575938) (xy 63.139292 40.704048) (xy 63.143848 40.719043)
(xy 63.150734 40.735647) (xy 63.15686 40.752565) (xy 63.163621 40.76672) (xy 63.213148 40.886142) (xy 63.221164 40.907364)
(xy 63.226026 40.917194) (xy 63.230228 40.927326) (xy 63.240932 40.947332) (xy 63.287422 41.041325) (xy 63.291113 41.050317)
(xy 63.302334 41.071474) (xy 63.312948 41.092933) (xy 63.318089 41.101178) (xy 63.330541 41.124657) (xy 63.395105 41.246485)
(xy 63.106756 41.127047) (xy 62.694279 41.045) (xy 62.273721 41.045) (xy 62.200388 41.059587) (xy 60.196 39.055199)
(xy 60.196 35.842821) (xy 60.199676 35.805498) (xy 60.196 35.768176) (xy 60.196 35.768166) (xy 60.185003 35.656513)
(xy 60.141546 35.513252) (xy 60.070974 35.381223) (xy 59.976001 35.265498) (xy 59.946998 35.241696) (xy 59.754305 35.049003)
(xy 59.730502 35.019999) (xy 59.614777 34.925026) (xy 59.482748 34.854454) (xy 59.339487 34.810997) (xy 59.227834 34.8)
(xy 59.227823 34.8) (xy 59.190501 34.796324) (xy 59.153179 34.8) (xy 56.64 34.8) (xy 56.64 34.56325)
(xy 56.769 34.43425) (xy 56.769 33.485) (xy 56.749 33.485) (xy 56.749 33.231) (xy 56.769 33.231)
(xy 56.769 33.211) (xy 57.023 33.211) (xy 57.023 33.231) (xy 57.043 33.231) (xy 57.043 33.485)
(xy 57.023 33.485) (xy 57.023 34.43425) (xy 57.18175 34.593) (xy 57.346 34.596072) (xy 57.470482 34.583812)
(xy 57.59018 34.547502) (xy 57.700494 34.488537) (xy 57.797185 34.409185) (xy 57.876537 34.312494) (xy 57.912 34.246148)
(xy 57.947463 34.312494) (xy 58.026815 34.409185) (xy 58.123506 34.488537) (xy 58.23382 34.547502) (xy 58.353518 34.583812)
(xy 58.478 34.596072) (xy 59.378 34.596072) (xy 59.502482 34.583812) (xy 59.62218 34.547502) (xy 59.732494 34.488537)
(xy 59.829185 34.409185) (xy 59.908537 34.312494) (xy 59.967502 34.20218) (xy 60.003812 34.082482) (xy 60.016072 33.958)
(xy 60.016072 32.758) (xy 60.003812 32.633518) (xy 59.967502 32.51382) (xy 59.908537 32.403506) (xy 59.829185 32.306815)
(xy 59.769704 32.258) (xy 59.829185 32.209185) (xy 59.908537 32.112494) (xy 59.967502 32.00218) (xy 60.003812 31.882482)
(xy 60.016072 31.758) (xy 60.016072 30.558) (xy 60.003812 30.433518) (xy 59.967502 30.31382) (xy 59.908537 30.203506)
(xy 59.829185 30.106815) (xy 59.732494 30.027463) (xy 59.62218 29.968498) (xy 59.605599 29.963468) (xy 59.562974 29.883723)
(xy 59.491799 29.796997) (xy 59.468001 29.767999) (xy 59.439002 29.7442) (xy 58.901803 29.207002) (xy 58.878001 29.177999)
(xy 58.762276 29.083026) (xy 58.630247 29.012454) (xy 58.486986 28.968997) (xy 58.375333 28.958) (xy 58.375322 28.958)
(xy 58.338 28.954324) (xy 58.300678 28.958) (xy 54.391093 28.958) (xy 54.510197 28.838896) (xy 54.66724 28.603864)
(xy 54.775413 28.342711) (xy 54.83056 28.065472) (xy 54.83056 27.782802) (xy 54.775413 27.505563) (xy 54.66724 27.24441)
(xy 54.510197 27.009378) (xy 54.310319 26.8095) (xy 54.075287 26.652457) (xy 53.814134 26.544284) (xy 53.536895 26.489137)
(xy 53.254225 26.489137) (xy 52.976986 26.544284) (xy 52.715833 26.652457) (xy 52.480801 26.8095) (xy 52.280923 27.009378)
(xy 52.12388 27.24441) (xy 52.015707 27.505563) (xy 51.96056 27.782802) (xy 51.96056 28.065472) (xy 52.015707 28.342711)
(xy 52.12388 28.603864) (xy 52.280923 28.838896) (xy 52.480801 29.038774) (xy 52.715833 29.195817) (xy 52.976986 29.30399)
(xy 53.254225 29.359137) (xy 53.386062 29.359137) (xy 53.337003 29.408196) (xy 53.307999 29.431999) (xy 53.253405 29.498522)
(xy 53.213026 29.547724) (xy 53.164996 29.637582) (xy 53.142454 29.679754) (xy 53.098997 29.823015) (xy 53.089452 29.919928)
(xy 52.382 29.919928) (xy 52.257518 29.932188) (xy 52.13782 29.968498) (xy 52.027506 30.027463) (xy 51.930815 30.106815)
(xy 51.851463 30.203506) (xy 51.816 30.269852) (xy 51.780537 30.203506) (xy 51.701185 30.106815) (xy 51.604494 30.027463)
(xy 51.49418 29.968498) (xy 51.374482 29.932188) (xy 51.25 29.919928) (xy 50.35 29.919928) (xy 50.225518 29.932188)
(xy 50.10582 29.968498) (xy 49.995506 30.027463) (xy 49.898815 30.106815) (xy 49.819463 30.203506) (xy 49.760498 30.31382)
(xy 49.724188 30.433518) (xy 49.711928 30.558) (xy 49.711928 31.758) (xy 49.724188 31.882482) (xy 49.760498 32.00218)
(xy 49.819463 32.112494) (xy 49.898815 32.209185) (xy 49.958296 32.258) (xy 49.898815 32.306815) (xy 49.819463 32.403506)
(xy 49.760498 32.51382) (xy 49.724188 32.633518) (xy 49.711928 32.758) (xy 49.715 33.07225) (xy 49.87375 33.231)
(xy 50.673 33.231) (xy 50.673 33.211) (xy 50.927 33.211) (xy 50.927 33.231) (xy 50.947 33.231)
(xy 50.947 33.485) (xy 50.927 33.485) (xy 50.927 34.43425) (xy 50.943974 34.451224) (xy 50.289002 35.106196)
(xy 50.259999 35.129999) (xy 50.210069 35.190839) (xy 50.165026 35.245724) (xy 50.094455 35.377753) (xy 50.094454 35.377754)
(xy 50.072803 35.449128) (xy 50.048815 35.468815) (xy 49.969463 35.565506) (xy 49.910498 35.67582) (xy 49.874188 35.795518)
(xy 49.861928 35.92) (xy 49.861928 37.42) (xy 49.872084 37.523114) (xy 49.674288 37.72091) (xy 49.673537 37.719506)
(xy 49.594185 37.622815) (xy 49.497494 37.543463) (xy 49.38718 37.484498) (xy 49.267482 37.448188) (xy 49.143 37.435928)
(xy 48.393 37.435928) (xy 48.268518 37.448188) (xy 48.14882 37.484498) (xy 48.038506 37.543463) (xy 47.941815 37.622815)
(xy 47.862463 37.719506) (xy 47.803498 37.82982) (xy 47.767188 37.949518) (xy 47.760576 38.016655) (xy 47.718724 38.039026)
(xy 47.602999 38.133999) (xy 47.5792 38.162998) (xy 46.987002 38.755197) (xy 46.957999 38.778999) (xy 46.917193 38.828722)
(xy 46.863026 38.894724) (xy 46.824796 38.966247) (xy 46.792454 39.026754) (xy 46.748997 39.170015) (xy 46.738 39.281668)
(xy 46.738 39.281678) (xy 46.734324 39.319) (xy 46.738 39.356323) (xy 46.738001 46.167197) (xy 44.193003 48.712196)
(xy 44.163999 48.735999) (xy 44.133892 48.772685) (xy 44.069026 48.851724) (xy 44.007836 48.966201) (xy 43.998454 48.983754)
(xy 43.954997 49.127015) (xy 43.944 49.238668) (xy 43.944 49.238678) (xy 43.940324 49.276) (xy 43.944 49.313323)
(xy 43.944001 52.009197) (xy 43.179658 52.773541) (xy 43.153368 52.794685) (xy 43.12687 52.826329) (xy 43.124201 52.828998)
(xy 43.102851 52.855013) (xy 43.057254 52.909465) (xy 43.055435 52.912789) (xy 43.053026 52.915724) (xy 43.019486 52.978473)
(xy 42.98538 53.040789) (xy 42.984244 53.044406) (xy 42.982454 53.047754) (xy 42.961814 53.115798) (xy 42.940508 53.183613)
(xy 42.940099 53.187387) (xy 42.938998 53.191015) (xy 42.932034 53.261724) (xy 42.928407 53.295152) (xy 42.92837 53.298924)
(xy 42.924324 53.34) (xy 42.927631 53.373578) (xy 42.851608 61.058528) (xy 38.6588 61.058528) (xy 38.534318 61.070788)
(xy 38.41462 61.107098) (xy 38.304306 61.166063) (xy 38.207615 61.245415) (xy 38.128263 61.342106) (xy 38.069298 61.45242)
(xy 38.032988 61.572118) (xy 38.020728 61.6966) (xy 38.020728 66.7766) (xy 38.032988 66.901082) (xy 38.069298 67.02078)
(xy 38.128263 67.131094) (xy 38.207615 67.227785) (xy 38.304306 67.307137) (xy 38.41462 67.366102) (xy 38.534318 67.402412)
(xy 38.6588 67.414672) (xy 43.7388 67.414672) (xy 43.863282 67.402412) (xy 43.98298 67.366102) (xy 44.093294 67.307137)
(xy 44.189985 67.227785) (xy 44.269337 67.131094) (xy 44.328302 67.02078) (xy 44.364612 66.901082) (xy 44.376872 66.7766)
(xy 44.376872 61.6966) (xy 44.36642 61.590475) (xy 44.444892 53.657909) (xy 45.215003 52.887799) (xy 45.244001 52.864001)
(xy 45.292421 52.805001) (xy 45.338974 52.748277) (xy 45.409546 52.616247) (xy 45.426798 52.559374) (xy 45.453003 52.472986)
(xy 45.464 52.361333) (xy 45.464 52.361323) (xy 45.467676 52.324) (xy 45.464 52.286678) (xy 45.464 51.414)
(xy 48.454928 51.414) (xy 48.467188 51.538482) (xy 48.503498 51.65818) (xy 48.562463 51.768494) (xy 48.641815 51.865185)
(xy 48.738506 51.944537) (xy 48.84882 52.003502) (xy 48.968518 52.039812) (xy 49.093 52.052072) (xy 49.58225 52.049)
(xy 49.741 51.89025) (xy 49.741 50.891) (xy 49.995 50.891) (xy 49.995 51.89025) (xy 50.15375 52.049)
(xy 50.643 52.052072) (xy 50.767482 52.039812) (xy 50.88718 52.003502) (xy 50.997494 51.944537) (xy 51.094185 51.865185)
(xy 51.173537 51.768494) (xy 51.232502 51.65818) (xy 51.268812 51.538482) (xy 51.281072 51.414) (xy 51.278 51.04975)
(xy 51.11925 50.891) (xy 49.995 50.891) (xy 49.741 50.891) (xy 48.61675 50.891) (xy 48.458 51.04975)
(xy 48.454928 51.414) (xy 45.464 51.414) (xy 45.464 50.114) (xy 48.454928 50.114) (xy 48.458 50.47825)
(xy 48.61675 50.637) (xy 49.741 50.637) (xy 49.741 49.63775) (xy 49.995 49.63775) (xy 49.995 50.637)
(xy 51.11925 50.637) (xy 51.278 50.47825) (xy 51.281072 50.114) (xy 51.268812 49.989518) (xy 51.232502 49.86982)
(xy 51.173537 49.759506) (xy 51.094185 49.662815) (xy 50.997494 49.583463) (xy 50.88718 49.524498) (xy 50.767482 49.488188)
(xy 50.643 49.475928) (xy 50.15375 49.479) (xy 49.995 49.63775) (xy 49.741 49.63775) (xy 49.58225 49.479)
(xy 49.093 49.475928) (xy 48.968518 49.488188) (xy 48.84882 49.524498) (xy 48.738506 49.583463) (xy 48.641815 49.662815)
(xy 48.562463 49.759506) (xy 48.503498 49.86982) (xy 48.467188 49.989518) (xy 48.454928 50.114) (xy 45.464 50.114)
(xy 45.464 49.590801) (xy 48.009003 47.045799) (xy 48.038001 47.022001) (xy 48.126635 46.914) (xy 48.454928 46.914)
(xy 48.467188 47.038482) (xy 48.503498 47.15818) (xy 48.562463 47.268494) (xy 48.641815 47.365185) (xy 48.738506 47.444537)
(xy 48.84882 47.503502) (xy 48.968518 47.539812) (xy 49.093 47.552072) (xy 49.58225 47.549) (xy 49.741 47.39025)
(xy 49.741 46.391) (xy 49.995 46.391) (xy 49.995 47.39025) (xy 50.15375 47.549) (xy 50.643 47.552072)
(xy 50.767482 47.539812) (xy 50.88718 47.503502) (xy 50.997494 47.444537) (xy 51.094185 47.365185) (xy 51.173537 47.268494)
(xy 51.232502 47.15818) (xy 51.268812 47.038482) (xy 51.281072 46.914) (xy 51.278 46.54975) (xy 51.11925 46.391)
(xy 49.995 46.391) (xy 49.741 46.391) (xy 48.61675 46.391) (xy 48.458 46.54975) (xy 48.454928 46.914)
(xy 48.126635 46.914) (xy 48.132974 46.906276) (xy 48.203546 46.774247) (xy 48.247003 46.630986) (xy 48.258 46.519333)
(xy 48.258 46.519325) (xy 48.261676 46.482) (xy 48.258 46.444675) (xy 48.258 45.614) (xy 48.454928 45.614)
(xy 48.458 45.97825) (xy 48.61675 46.137) (xy 49.741 46.137) (xy 49.741 45.13775) (xy 49.995 45.13775)
(xy 49.995 46.137) (xy 51.11925 46.137) (xy 51.278 45.97825) (xy 51.281072 45.614) (xy 51.268812 45.489518)
(xy 51.232502 45.36982) (xy 51.173537 45.259506) (xy 51.094185 45.162815) (xy 50.997494 45.083463) (xy 50.88718 45.024498)
(xy 50.767482 44.988188) (xy 50.643 44.975928) (xy 50.15375 44.979) (xy 49.995 45.13775) (xy 49.741 45.13775)
(xy 49.58225 44.979) (xy 49.093 44.975928) (xy 48.968518 44.988188) (xy 48.84882 45.024498) (xy 48.738506 45.083463)
(xy 48.641815 45.162815) (xy 48.562463 45.259506) (xy 48.503498 45.36982) (xy 48.467188 45.489518) (xy 48.454928 45.614)
(xy 48.258 45.614) (xy 48.258 42.82) (xy 49.861928 42.82) (xy 49.874188 42.944482) (xy 49.910498 43.06418)
(xy 49.969463 43.174494) (xy 50.048815 43.271185) (xy 50.145506 43.350537) (xy 50.25582 43.409502) (xy 50.375518 43.445812)
(xy 50.5 43.458072) (xy 50.51425 43.455) (xy 50.673 43.29625) (xy 50.673 42.197) (xy 50.02375 42.197)
(xy 49.865 42.35575) (xy 49.861928 42.82) (xy 48.258 42.82) (xy 48.258 41.796621) (xy 48.268518 41.799812)
(xy 48.393 41.812072) (xy 48.48225 41.809) (xy 48.641 41.65025) (xy 48.641 40.701) (xy 48.895 40.701)
(xy 48.895 41.65025) (xy 49.05375 41.809) (xy 49.143 41.812072) (xy 49.267482 41.799812) (xy 49.38718 41.763502)
(xy 49.497494 41.704537) (xy 49.594185 41.625185) (xy 49.673537 41.528494) (xy 49.732502 41.41818) (xy 49.762284 41.32)
(xy 49.861928 41.32) (xy 49.865 41.78425) (xy 50.02375 41.943) (xy 50.673 41.943) (xy 50.673 40.84375)
(xy 50.51425 40.685) (xy 50.5 40.681928) (xy 50.375518 40.694188) (xy 50.25582 40.730498) (xy 50.145506 40.789463)
(xy 50.048815 40.868815) (xy 49.969463 40.965506) (xy 49.910498 41.07582) (xy 49.874188 41.195518) (xy 49.861928 41.32)
(xy 49.762284 41.32) (xy 49.768812 41.298482) (xy 49.781072 41.174) (xy 49.778 40.85975) (xy 49.61925 40.701)
(xy 48.895 40.701) (xy 48.641 40.701) (xy 48.621 40.701) (xy 48.621 40.447) (xy 48.641 40.447)
(xy 48.641 40.427) (xy 48.895 40.427) (xy 48.895 40.447) (xy 49.61925 40.447) (xy 49.778 40.28825)
(xy 49.781072 39.974) (xy 49.768812 39.849518) (xy 49.732502 39.72982) (xy 49.675939 39.624) (xy 49.732502 39.51818)
(xy 49.758038 39.434) (xy 49.758678 39.434) (xy 49.796 39.437676) (xy 49.833322 39.434) (xy 49.833333 39.434)
(xy 49.944986 39.423003) (xy 50.088247 39.379546) (xy 50.220276 39.308974) (xy 50.336001 39.214001) (xy 50.359803 39.184998)
(xy 51.311008 38.233795) (xy 51.340001 38.210001) (xy 51.363795 38.181008) (xy 51.363799 38.181004) (xy 51.434973 38.094277)
(xy 51.434974 38.094276) (xy 51.490406 37.990572) (xy 51.52582 38.009502) (xy 51.645518 38.045812) (xy 51.77 38.058072)
(xy 52.37 38.058072) (xy 52.494482 38.045812) (xy 52.61418 38.009502) (xy 52.705 37.960957) (xy 52.79582 38.009502)
(xy 52.915518 38.045812) (xy 53.04 38.058072) (xy 53.64 38.058072) (xy 53.764482 38.045812) (xy 53.88418 38.009502)
(xy 53.975 37.960957) (xy 54.06582 38.009502) (xy 54.185518 38.045812) (xy 54.31 38.058072) (xy 54.91 38.058072)
(xy 55.034482 38.045812) (xy 55.12 38.01987) (xy 55.12 38.570677) (xy 55.116324 38.608) (xy 55.12 38.645322)
(xy 55.12 38.645332) (xy 55.130997 38.756985) (xy 55.172779 38.894724) (xy 55.174454 38.900246) (xy 55.245026 39.032276)
(xy 55.262048 39.053017) (xy 55.339999 39.148001) (xy 55.369002 39.171803) (xy 55.765 39.567802) (xy 55.765 40.681928)
(xy 55.58 40.681928) (xy 55.455518 40.694188) (xy 55.33582 40.730498) (xy 55.245 40.779043) (xy 55.15418 40.730498)
(xy 55.034482 40.694188) (xy 54.91 40.681928) (xy 54.31 40.681928) (xy 54.185518 40.694188) (xy 54.06582 40.730498)
(xy 53.975 40.779043) (xy 53.88418 40.730498) (xy 53.764482 40.694188) (xy 53.64 40.681928) (xy 53.04 40.681928)
(xy 52.915518 40.694188) (xy 52.79582 40.730498) (xy 52.705 40.779043) (xy 52.61418 40.730498) (xy 52.494482 40.694188)
(xy 52.37 40.681928) (xy 51.77 40.681928) (xy 51.645518 40.694188) (xy 51.52582 40.730498) (xy 51.435 40.779043)
(xy 51.34418 40.730498) (xy 51.224482 40.694188) (xy 51.1 40.681928) (xy 51.08575 40.685) (xy 50.927 40.84375)
(xy 50.927 41.943) (xy 50.947 41.943) (xy 50.947 42.197) (xy 50.927 42.197) (xy 50.927 43.29625)
(xy 51.08575 43.455) (xy 51.1 43.458072) (xy 51.224482 43.445812) (xy 51.34418 43.409502) (xy 51.379595 43.390572)
(xy 51.435026 43.494276) (xy 51.464363 43.530023) (xy 51.529999 43.610001) (xy 51.559002 43.633803) (xy 52.834001 44.908804)
(xy 52.834 54.041197) (xy 47.494998 59.380201) (xy 47.466 59.403999) (xy 47.442202 59.432997) (xy 47.442201 59.432998)
(xy 47.371026 59.519724) (xy 47.300454 59.651754) (xy 47.276039 59.732244) (xy 47.256998 59.795014) (xy 47.248947 59.876754)
(xy 47.242324 59.944) (xy 47.246001 59.981332) (xy 47.246 65.217197) (xy 44.135199 68.328) (xy 43.40232 68.328)
(xy 43.378537 68.283506) (xy 43.299185 68.186815) (xy 43.202494 68.107463) (xy 43.09218 68.048498) (xy 42.972482 68.012188)
(xy 42.848 67.999928) (xy 41.648 67.999928) (xy 41.523518 68.012188) (xy 41.40382 68.048498) (xy 41.293506 68.107463)
(xy 41.196815 68.186815) (xy 41.148 68.246296) (xy 41.099185 68.186815) (xy 41.002494 68.107463) (xy 40.89218 68.048498)
(xy 40.772482 68.012188) (xy 40.648 67.999928) (xy 39.448 67.999928) (xy 39.323518 68.012188) (xy 39.20382 68.048498)
(xy 39.093506 68.107463) (xy 38.996815 68.186815) (xy 38.989 68.196338) (xy 38.981185 68.186815) (xy 38.884494 68.107463)
(xy 38.77418 68.048498) (xy 38.654482 68.012188) (xy 38.53 67.999928) (xy 37.33 67.999928) (xy 37.205518 68.012188)
(xy 37.08582 68.048498) (xy 36.975506 68.107463) (xy 36.878815 68.186815) (xy 36.83 68.246296) (xy 36.781185 68.186815)
(xy 36.684494 68.107463) (xy 36.57418 68.048498) (xy 36.454482 68.012188) (xy 36.33 67.999928) (xy 36.01575 68.003)
(xy 35.857 68.16175) (xy 35.857 68.961) (xy 35.877 68.961) (xy 35.877 69.215) (xy 35.857 69.215)
(xy 35.857 70.01425) (xy 36.01575 70.173) (xy 36.33 70.176072) (xy 36.454482 70.163812) (xy 36.57418 70.127502)
(xy 36.684494 70.068537) (xy 36.781185 69.989185) (xy 36.83 69.929704) (xy 36.878815 69.989185) (xy 36.975506 70.068537)
(xy 37.08582 70.127502) (xy 37.205518 70.163812) (xy 37.33 70.176072) (xy 38.53 70.176072) (xy 38.654482 70.163812)
(xy 38.77418 70.127502) (xy 38.884494 70.068537) (xy 38.981185 69.989185) (xy 38.989 69.979662) (xy 38.996815 69.989185)
(xy 39.093506 70.068537) (xy 39.20382 70.127502) (xy 39.323518 70.163812) (xy 39.448 70.176072) (xy 40.648 70.176072)
(xy 40.772482 70.163812) (xy 40.89218 70.127502) (xy 41.002494 70.068537) (xy 41.099185 69.989185) (xy 41.148 69.929704)
(xy 41.196815 69.989185) (xy 41.293506 70.068537) (xy 41.40382 70.127502) (xy 41.523518 70.163812) (xy 41.648 70.176072)
(xy 42.848 70.176072) (xy 42.972482 70.163812) (xy 43.09218 70.127502) (xy 43.202494 70.068537) (xy 43.299185 69.989185)
(xy 43.378537 69.892494) (xy 43.40232 69.848) (xy 43.885199 69.848) (xy 43.277874 70.455325) (xy 43.202494 70.393463)
(xy 43.09218 70.334498) (xy 42.972482 70.298188) (xy 42.848 70.285928) (xy 41.648 70.285928) (xy 41.523518 70.298188)
(xy 41.40382 70.334498) (xy 41.293506 70.393463) (xy 41.196815 70.472815) (xy 41.148 70.532296) (xy 41.099185 70.472815)
(xy 41.002494 70.393463) (xy 40.89218 70.334498) (xy 40.772482 70.298188) (xy 40.648 70.285928) (xy 39.448 70.285928)
(xy 39.323518 70.298188) (xy 39.20382 70.334498) (xy 39.093506 70.393463) (xy 38.996815 70.472815) (xy 38.989 70.482338)
(xy 38.981185 70.472815) (xy 38.884494 70.393463) (xy 38.77418 70.334498) (xy 38.654482 70.298188) (xy 38.53 70.285928)
(xy 37.33 70.285928) (xy 37.205518 70.298188) (xy 37.08582 70.334498) (xy 36.975506 70.393463) (xy 36.878815 70.472815)
(xy 36.83 70.532296) (xy 36.781185 70.472815) (xy 36.684494 70.393463) (xy 36.57418 70.334498) (xy 36.454482 70.298188)
(xy 36.33 70.285928) (xy 36.01575 70.289) (xy 35.857 70.44775) (xy 35.857 71.247) (xy 35.877 71.247)
(xy 35.877 71.501) (xy 35.857 71.501) (xy 35.857 72.30025) (xy 36.01575 72.459) (xy 36.33 72.462072)
(xy 36.454482 72.449812) (xy 36.57418 72.413502) (xy 36.684494 72.354537) (xy 36.781185 72.275185) (xy 36.83 72.215704)
(xy 36.878815 72.275185) (xy 36.975506 72.354537) (xy 37.08582 72.413502) (xy 37.205518 72.449812) (xy 37.33 72.462072)
(xy 38.53 72.462072) (xy 38.654482 72.449812) (xy 38.77418 72.413502) (xy 38.884494 72.354537) (xy 38.981185 72.275185)
(xy 38.989 72.265662) (xy 38.996815 72.275185) (xy 39.093506 72.354537) (xy 39.20382 72.413502) (xy 39.323518 72.449812)
(xy 39.448 72.462072) (xy 40.648 72.462072) (xy 40.772482 72.449812) (xy 40.89218 72.413502) (xy 41.002494 72.354537)
(xy 41.099185 72.275185) (xy 41.148 72.215704) (xy 41.196815 72.275185) (xy 41.293506 72.354537) (xy 41.40382 72.413502)
(xy 41.523518 72.449812) (xy 41.648 72.462072) (xy 42.848 72.462072) (xy 42.972482 72.449812) (xy 43.09218 72.413502)
(xy 43.202494 72.354537) (xy 43.299185 72.275185) (xy 43.378537 72.178494) (xy 43.402038 72.134528) (xy 43.434 72.137676)
(xy 43.471322 72.134) (xy 43.471333 72.134) (xy 43.582986 72.123003) (xy 43.726247 72.079546) (xy 43.858276 72.008974)
(xy 43.974001 71.914001) (xy 43.997804 71.884997) (xy 48.120801 67.762) (xy 49.963928 67.762) (xy 49.976188 67.886482)
(xy 50.012498 68.00618) (xy 50.071463 68.116494) (xy 50.150815 68.213185) (xy 50.247506 68.292537) (xy 50.35782 68.351502)
(xy 50.477518 68.387812) (xy 50.602 68.400072) (xy 53.81625 68.397) (xy 53.975 68.23825) (xy 53.975 64.389)
(xy 54.229 64.389) (xy 54.229 68.23825) (xy 54.38775 68.397) (xy 57.602 68.400072) (xy 57.726482 68.387812)
(xy 57.84618 68.351502) (xy 57.956494 68.292537) (xy 58.053185 68.213185) (xy 58.132537 68.116494) (xy 58.191502 68.00618)
(xy 58.227812 67.886482) (xy 58.240072 67.762) (xy 58.237 64.54775) (xy 58.07825 64.389) (xy 54.229 64.389)
(xy 53.975 64.389) (xy 50.12575 64.389) (xy 49.967 64.54775) (xy 49.963928 67.762) (xy 48.120801 67.762)
(xy 49.279004 66.603798) (xy 49.308001 66.580001) (xy 49.361965 66.514246) (xy 49.402974 66.464277) (xy 49.473546 66.332247)
(xy 49.48263 66.302301) (xy 49.517003 66.188986) (xy 49.528 66.077333) (xy 49.528 66.077324) (xy 49.531676 66.040001)
(xy 49.528 66.002678) (xy 49.528 60.766801) (xy 49.532801 60.762) (xy 49.963928 60.762) (xy 49.967 63.97625)
(xy 50.12575 64.135) (xy 53.975 64.135) (xy 53.975 60.28575) (xy 54.229 60.28575) (xy 54.229 64.135)
(xy 58.07825 64.135) (xy 58.237 63.97625) (xy 58.240072 60.762) (xy 58.227812 60.637518) (xy 58.191502 60.51782)
(xy 58.132537 60.407506) (xy 58.053185 60.310815) (xy 57.956494 60.231463) (xy 57.84618 60.172498) (xy 57.726482 60.136188)
(xy 57.602 60.123928) (xy 54.38775 60.127) (xy 54.229 60.28575) (xy 53.975 60.28575) (xy 53.81625 60.127)
(xy 50.602 60.123928) (xy 50.477518 60.136188) (xy 50.35782 60.172498) (xy 50.247506 60.231463) (xy 50.150815 60.310815)
(xy 50.071463 60.407506) (xy 50.012498 60.51782) (xy 49.976188 60.637518) (xy 49.963928 60.762) (xy 49.532801 60.762)
(xy 54.52626 55.768543) (xy 54.577999 55.831588) (xy 54.607003 55.855391) (xy 59.438001 60.68639) (xy 59.438 66.256677)
(xy 59.434324 66.294) (xy 59.438 66.331322) (xy 59.438 66.331332) (xy 59.448997 66.442985) (xy 59.48579 66.564276)
(xy 59.492454 66.586246) (xy 59.563026 66.718276) (xy 59.598536 66.761545) (xy 59.657999 66.834001) (xy 59.687003 66.857804)
(xy 64.206205 71.377008) (xy 64.229999 71.406001) (xy 64.258992 71.429795) (xy 64.258996 71.429799) (xy 64.325368 71.484268)
(xy 64.345724 71.500974) (xy 64.477753 71.571546) (xy 64.621014 71.615003) (xy 64.732667 71.626) (xy 64.732676 71.626)
(xy 64.769999 71.629676) (xy 64.801962 71.626528) (xy 64.825463 71.670494) (xy 64.904815 71.767185) (xy 65.001506 71.846537)
(xy 65.11182 71.905502) (xy 65.231518 71.941812) (xy 65.356 71.954072) (xy 66.556 71.954072) (xy 66.680482 71.941812)
(xy 66.80018 71.905502) (xy 66.910494 71.846537) (xy 67.007185 71.767185) (xy 67.056 71.707704) (xy 67.104815 71.767185)
(xy 67.201506 71.846537) (xy 67.31182 71.905502) (xy 67.431518 71.941812) (xy 67.556 71.954072) (xy 68.756 71.954072)
(xy 68.880482 71.941812) (xy 69.00018 71.905502) (xy 69.110494 71.846537) (xy 69.207185 71.767185) (xy 69.215 71.757662)
(xy 69.222815 71.767185) (xy 69.319506 71.846537) (xy 69.42982 71.905502) (xy 69.549518 71.941812) (xy 69.674 71.954072)
(xy 70.874 71.954072) (xy 70.998482 71.941812) (xy 71.11818 71.905502) (xy 71.228494 71.846537) (xy 71.325185 71.767185)
(xy 71.374 71.707704) (xy 71.422815 71.767185) (xy 71.519506 71.846537) (xy 71.62982 71.905502) (xy 71.749518 71.941812)
(xy 71.874 71.954072) (xy 72.18825 71.951) (xy 72.347 71.79225) (xy 72.347 70.993) (xy 72.601 70.993)
(xy 72.601 71.79225) (xy 72.75975 71.951) (xy 73.074 71.954072) (xy 73.198482 71.941812) (xy 73.31818 71.905502)
(xy 73.428494 71.846537) (xy 73.525185 71.767185) (xy 73.604537 71.670494) (xy 73.663502 71.56018) (xy 73.699812 71.440482)
(xy 73.712072 71.316) (xy 73.709 71.15175) (xy 73.55025 70.993) (xy 72.601 70.993) (xy 72.347 70.993)
(xy 72.327 70.993) (xy 72.327 70.739) (xy 72.347 70.739) (xy 72.347 69.93975) (xy 72.601 69.93975)
(xy 72.601 70.739) (xy 73.55025 70.739) (xy 73.709 70.58025) (xy 73.712072 70.416) (xy 73.699812 70.291518)
(xy 73.663502 70.17182) (xy 73.604537 70.061506) (xy 73.525185 69.964815) (xy 73.428494 69.885463) (xy 73.31818 69.826498)
(xy 73.198482 69.790188) (xy 73.074 69.777928) (xy 72.75975 69.781) (xy 72.601 69.93975) (xy 72.347 69.93975)
(xy 72.18825 69.781) (xy 71.874 69.777928) (xy 71.749518 69.790188) (xy 71.62982 69.826498) (xy 71.519506 69.885463)
(xy 71.422815 69.964815) (xy 71.374 70.024296) (xy 71.325185 69.964815) (xy 71.228494 69.885463) (xy 71.11818 69.826498)
(xy 70.998482 69.790188) (xy 70.874 69.777928) (xy 69.674 69.777928) (xy 69.549518 69.790188) (xy 69.42982 69.826498)
(xy 69.319506 69.885463) (xy 69.222815 69.964815) (xy 69.215 69.974338) (xy 69.207185 69.964815) (xy 69.110494 69.885463)
(xy 69.00018 69.826498) (xy 68.880482 69.790188) (xy 68.756 69.777928) (xy 67.556 69.777928) (xy 67.431518 69.790188)
(xy 67.31182 69.826498) (xy 67.201506 69.885463) (xy 67.104815 69.964815) (xy 67.056 70.024296) (xy 67.007185 69.964815)
(xy 66.910494 69.885463) (xy 66.80018 69.826498) (xy 66.680482 69.790188) (xy 66.556 69.777928) (xy 65.356 69.777928)
(xy 65.231518 69.790188) (xy 65.11182 69.826498) (xy 65.001506 69.885463) (xy 64.926127 69.947324) (xy 64.318803 69.34)
(xy 64.80168 69.34) (xy 64.825463 69.384494) (xy 64.904815 69.481185) (xy 65.001506 69.560537) (xy 65.11182 69.619502)
(xy 65.231518 69.655812) (xy 65.356 69.668072) (xy 66.556 69.668072) (xy 66.680482 69.655812) (xy 66.80018 69.619502)
(xy 66.910494 69.560537) (xy 67.007185 69.481185) (xy 67.056 69.421704) (xy 67.104815 69.481185) (xy 67.201506 69.560537)
(xy 67.31182 69.619502) (xy 67.431518 69.655812) (xy 67.556 69.668072) (xy 68.756 69.668072) (xy 68.880482 69.655812)
(xy 69.00018 69.619502) (xy 69.110494 69.560537) (xy 69.207185 69.481185) (xy 69.215 69.471662) (xy 69.222815 69.481185)
(xy 69.319506 69.560537) (xy 69.42982 69.619502) (xy 69.549518 69.655812) (xy 69.674 69.668072) (xy 70.874 69.668072)
(xy 70.998482 69.655812) (xy 71.11818 69.619502) (xy 71.228494 69.560537) (xy 71.325185 69.481185) (xy 71.374 69.421704)
(xy 71.422815 69.481185) (xy 71.519506 69.560537) (xy 71.62982 69.619502) (xy 71.749518 69.655812) (xy 71.874 69.668072)
(xy 72.18825 69.665) (xy 72.347 69.50625) (xy 72.347 68.707) (xy 72.601 68.707) (xy 72.601 69.50625)
(xy 72.75975 69.665) (xy 73.074 69.668072) (xy 73.198482 69.655812) (xy 73.31818 69.619502) (xy 73.428494 69.560537)
(xy 73.525185 69.481185) (xy 73.604537 69.384494) (xy 73.663502 69.27418) (xy 73.699812 69.154482) (xy 73.712072 69.03)
(xy 73.709 68.86575) (xy 73.55025 68.707) (xy 72.601 68.707) (xy 72.347 68.707) (xy 72.327 68.707)
(xy 72.327 68.453) (xy 72.347 68.453) (xy 72.347 67.65375) (xy 72.601 67.65375) (xy 72.601 68.453)
(xy 73.55025 68.453) (xy 73.709 68.29425) (xy 73.712072 68.13) (xy 73.699812 68.005518) (xy 73.663502 67.88582)
(xy 73.604537 67.775506) (xy 73.525185 67.678815) (xy 73.428494 67.599463) (xy 73.31818 67.540498) (xy 73.198482 67.504188)
(xy 73.074 67.491928) (xy 72.75975 67.495) (xy 72.601 67.65375) (xy 72.347 67.65375) (xy 72.18825 67.495)
(xy 71.874 67.491928) (xy 71.749518 67.504188) (xy 71.62982 67.540498) (xy 71.519506 67.599463) (xy 71.422815 67.678815)
(xy 71.374 67.738296) (xy 71.325185 67.678815) (xy 71.228494 67.599463) (xy 71.11818 67.540498) (xy 70.998482 67.504188)
(xy 70.874 67.491928) (xy 69.674 67.491928) (xy 69.549518 67.504188) (xy 69.42982 67.540498) (xy 69.319506 67.599463)
(xy 69.222815 67.678815) (xy 69.215 67.688338) (xy 69.207185 67.678815) (xy 69.110494 67.599463) (xy 69.00018 67.540498)
(xy 68.880482 67.504188) (xy 68.756 67.491928) (xy 67.556 67.491928) (xy 67.431518 67.504188) (xy 67.31182 67.540498)
(xy 67.201506 67.599463) (xy 67.104815 67.678815) (xy 67.056 67.738296) (xy 67.007185 67.678815) (xy 66.910494 67.599463)
(xy 66.80018 67.540498) (xy 66.680482 67.504188) (xy 66.556 67.491928) (xy 65.356 67.491928) (xy 65.231518 67.504188)
(xy 65.11182 67.540498) (xy 65.001506 67.599463) (xy 64.904815 67.678815) (xy 64.825463 67.775506) (xy 64.80168 67.82)
(xy 64.576802 67.82) (xy 61.72 64.963199) (xy 61.72 59.981323) (xy 61.723676 59.944) (xy 61.72 59.906677)
(xy 61.72 59.906667) (xy 61.709003 59.795014) (xy 61.665546 59.651753) (xy 61.649955 59.622584) (xy 61.594974 59.519723)
(xy 61.523799 59.432997) (xy 61.500001 59.403999) (xy 61.471003 59.380201) (xy 56.64 54.549199) (xy 56.64 51.896523)
(xy 56.698506 51.944537) (xy 56.80882 52.003502) (xy 56.928518 52.039812) (xy 57.053 52.052072) (xy 58.603 52.052072)
(xy 58.727482 52.039812) (xy 58.84718 52.003502) (xy 58.957494 51.944537) (xy 59.054185 51.865185) (xy 59.133537 51.768494)
(xy 59.192502 51.65818) (xy 59.228812 51.538482) (xy 59.230238 51.524) (xy 59.593199 51.524) (xy 61.622554 53.553356)
(xy 61.607909 53.601635) (xy 61.595649 53.726117) (xy 61.607909 53.850599) (xy 61.644219 53.970297) (xy 61.703184 54.080611)
(xy 61.782536 54.177302) (xy 62.631064 55.02583) (xy 62.727755 55.105182) (xy 62.838069 55.164147) (xy 62.957767 55.200457)
(xy 63.082249 55.212717) (xy 63.158825 55.205175) (xy 63.151283 55.281751) (xy 63.163543 55.406233) (xy 63.199853 55.525931)
(xy 63.258818 55.636245) (xy 63.33817 55.732936) (xy 64.186698 56.581464) (xy 64.283389 56.660816) (xy 64.393703 56.719781)
(xy 64.513401 56.756091) (xy 64.637883 56.768351) (xy 64.762365 56.756091) (xy 64.810644 56.741446) (xy 66.2706 58.201402)
(xy 66.2706 61.058528) (xy 64.4906 61.058528) (xy 64.366118 61.070788) (xy 64.24642 61.107098) (xy 64.136106 61.166063)
(xy 64.039415 61.245415) (xy 63.960063 61.342106) (xy 63.901098 61.45242) (xy 63.864788 61.572118) (xy 63.852528 61.6966)
(xy 63.852528 66.7766) (xy 63.864788 66.901082) (xy 63.901098 67.02078) (xy 63.960063 67.131094) (xy 64.039415 67.227785)
(xy 64.136106 67.307137) (xy 64.24642 67.366102) (xy 64.366118 67.402412) (xy 64.4906 67.414672) (xy 69.5706 67.414672)
(xy 69.695082 67.402412) (xy 69.81478 67.366102) (xy 69.925094 67.307137) (xy 70.021785 67.227785) (xy 70.101137 67.131094)
(xy 70.160102 67.02078) (xy 70.196412 66.901082) (xy 70.208672 66.7766) (xy 70.208672 61.6966) (xy 70.196412 61.572118)
(xy 70.160102 61.45242) (xy 70.101137 61.342106) (xy 70.077691 61.313537) (xy 70.472756 61.234953) (xy 70.861302 61.074012)
(xy 71.210983 60.840363) (xy 71.508363 60.542983) (xy 71.602778 60.401681) (xy 71.572439 60.464996) (xy 71.488101 60.619628)
(xy 71.393735 60.774097) (xy 71.169509 61.129392) (xy 71.139678 61.172319) (xy 71.120092 61.217265) (xy 71.098121 61.261081)
(xy 71.093195 61.278989) (xy 71.085774 61.296018) (xy 71.075333 61.343918) (xy 71.062332 61.391181) (xy 71.060994 61.409706)
(xy 71.057038 61.427856) (xy 71.056143 61.476871) (xy 71.052612 61.525763) (xy 71.054914 61.544194) (xy 71.054575 61.562765)
(xy 71.06326 61.611019) (xy 71.069335 61.659655) (xy 71.075187 61.677278) (xy 71.078478 61.695564) (xy 71.096409 61.741193)
(xy 71.111856 61.787713) (xy 71.121037 61.803861) (xy 71.12783 61.821148) (xy 71.154319 61.862402) (xy 71.178545 61.905015)
(xy 71.190697 61.919059) (xy 71.200734 61.934691) (xy 71.234766 61.969989) (xy 71.266836 62.007052) (xy 71.308085 62.039142)
(xy 71.62811 62.311708) (xy 71.753663 62.422388) (xy 71.857028 62.522584) (xy 71.944481 62.619916) (xy 71.969 62.651354)
(xy 71.991887 62.684873) (xy 72.010689 62.716717) (xy 72.023138 62.741386) (xy 72.030927 62.761227) (xy 72.038606 62.78667)
(xy 72.071692 62.939198) (xy 72.095495 63.091155) (xy 72.110443 63.235031) (xy 72.118577 63.362266) (xy 72.122185 63.578581)
(xy 72.120356 63.661116) (xy 72.119611 63.668683) (xy 72.119611 63.694754) (xy 72.119034 63.720796) (xy 72.119611 63.72837)
(xy 72.119611 63.74392) (xy 72.118842 63.770967) (xy 72.117013 63.78009) (xy 72.116927 63.838303) (xy 72.116232 63.862727)
(xy 72.116877 63.871956) (xy 72.116863 63.881212) (xy 72.119223 63.905541) (xy 72.123279 63.963604) (xy 72.125726 63.972581)
(xy 72.12872 64.003454) (xy 72.129547 64.075846) (xy 72.125554 64.241171) (xy 72.118843 64.331642) (xy 72.11815 64.335953)
(xy 72.116357 64.365164) (xy 72.114189 64.394389) (xy 72.114295 64.39876) (xy 72.112966 64.420397) (xy 72.109414 64.437251)
(xy 72.108841 64.487602) (xy 72.107827 64.504118) (xy 72.108458 64.521229) (xy 72.108263 64.538366) (xy 72.109699 64.554863)
(xy 72.111554 64.605171) (xy 72.115535 64.621929) (xy 72.116377 64.631608) (xy 72.116754 64.672482) (xy 72.129085 64.731616)
(xy 72.140196 64.790995) (xy 72.150441 64.816547) (xy 72.164092 64.85664) (xy 72.171665 64.880799) (xy 72.174948 64.888522)
(xy 72.177649 64.896455) (xy 72.188108 64.919483) (xy 72.191382 64.927184) (xy 72.195766 64.942427) (xy 72.207653 64.965462)
(xy 72.211224 64.973863) (xy 72.21437 64.978479) (xy 72.218833 64.987127) (xy 72.225668 65.002175) (xy 72.234273 65.017047)
(xy 72.242139 65.03229) (xy 72.25111 65.046146) (xy 72.276312 65.089703) (xy 72.287705 65.10267) (xy 72.319882 65.152369)
(xy 72.336825 65.178901) (xy 72.338149 65.180584) (xy 72.339311 65.182379) (xy 72.358862 65.206917) (xy 72.525699 65.419024)
(xy 72.544587 65.454361) (xy 72.557816 65.47048) (xy 72.568733 65.488234) (xy 72.600528 65.522524) (xy 72.630189 65.558665)
(xy 72.651637 65.576267) (xy 72.776466 65.726159) (xy 72.788706 65.742099) (xy 72.797988 65.752002) (xy 72.806668 65.762425)
(xy 72.820972 65.776525) (xy 72.975706 65.941615) (xy 72.991145 65.959115) (xy 72.998706 65.966155) (xy 73.005764 65.973685)
(xy 73.023301 65.989053) (xy 73.18398 66.138653) (xy 73.200571 66.155059) (xy 73.208593 66.161568) (xy 73.216146 66.1686)
(xy 73.234683 66.182737) (xy 73.405029 66.320951) (xy 73.41973 66.333913) (xy 73.43113 66.342128) (xy 73.442048 66.350987)
(xy 73.458413 66.36179) (xy 73.642169 66.494214) (xy 73.652013 66.502265) (xy 73.669441 66.513868) (xy 73.686436 66.526115)
(xy 73.697438 66.532506) (xy 73.903515 66.6697) (xy 73.910875 66.675394) (xy 73.931525 66.688347) (xy 73.95179 66.701839)
(xy 73.959994 66.706206) (xy 74.545184 67.073296) (xy 74.557499 67.081985) (xy 74.573662 67.09116) (xy 74.589409 67.101038)
(xy 74.596544 67.104592) (xy 74.821116 67.252748) (xy 74.932206 67.33218) (xy 75.044692 67.417847) (xy 75.154072 67.507192)
(xy 75.261305 67.601879) (xy 75.364336 67.699872) (xy 75.462147 67.802084) (xy 75.555596 67.909191) (xy 75.643182 68.020424)
(xy 75.725284 68.13719) (xy 75.801379 68.259267) (xy 75.870804 68.387053) (xy 75.933645 68.521106) (xy 75.988831 68.661669)
(xy 76.043055 68.831522) (xy 76.048567 68.849693) (xy 76.077288 68.969818) (xy 76.101591 69.133023) (xy 76.112056 69.299981)
(xy 76.107873 69.477365) (xy 76.100984 69.555523) (xy 76.089957 69.641204) (xy 76.075227 69.724683) (xy 76.05645 69.808681)
(xy 76.033179 69.894162) (xy 76.006735 69.977979) (xy 75.976033 70.060678) (xy 75.944855 70.134768) (xy 75.891656 70.239359)
(xy 75.832564 70.332097) (xy 75.760916 70.426231) (xy 75.676993 70.522211) (xy 75.580499 70.62032) (xy 75.473636 70.720327)
(xy 75.22416 70.938062) (xy 75.204668 70.954261) (xy 75.198841 70.96016) (xy 75.192591 70.965615) (xy 75.175214 70.98408)
(xy 74.97653 71.185226) (xy 74.889412 71.264378) (xy 74.791324 71.342153) (xy 74.001561 71.889823) (xy 73.630372 72.137717)
(xy 73.267991 72.367033) (xy 72.91054 72.579211) (xy 72.545299 72.776464) (xy 72.365526 72.866662) (xy 72.177612 72.954683)
(xy 71.983741 73.040626) (xy 71.802233 73.115831) (xy 71.797942 73.117195) (xy 71.771213 73.128684) (xy 71.744241 73.139859)
(xy 71.740257 73.141989) (xy 71.633093 73.18805) (xy 71.6215 73.192199) (xy 71.602208 73.201325) (xy 71.582588 73.209758)
(xy 71.571802 73.215708) (xy 71.435699 73.280091) (xy 71.424646 73.284484) (xy 71.405251 73.294494) (xy 71.38559 73.303795)
(xy 71.375422 73.30989) (xy 71.016226 73.495284) (xy 71.004076 73.500667) (xy 70.986329 73.510716) (xy 70.96823 73.520057)
(xy 70.957089 73.527271) (xy 70.552184 73.75653) (xy 70.54236 73.761261) (xy 70.522908 73.773106) (xy 70.50311 73.784316)
(xy 70.494203 73.790586) (xy 70.06605 74.05131) (xy 70.056009 74.05656) (xy 70.037296 74.068819) (xy 70.018236 74.080426)
(xy 70.009204 74.087223) (xy 69.582195 74.366969) (xy 69.574712 74.371123) (xy 69.554076 74.385391) (xy 69.533072 74.399151)
(xy 69.526402 74.404524) (xy 69.34141 74.532427) (xy 69.334727 74.536337) (xy 69.313754 74.551549) (xy 69.292433 74.56629)
(xy 69.286523 74.571299) (xy 69.109806 74.699473) (xy 69.102731 74.703845) (xy 69.082604 74.719202) (xy 69.062077 74.73409)
(xy 69.055846 74.739618) (xy 68.887679 74.867929) (xy 68.87964 74.873214) (xy 68.860943 74.888328) (xy 68.841831 74.90291)
(xy 68.834792 74.909467) (xy 68.677771 75.036394) (xy 68.668732 75.042764) (xy 68.651631 75.057524) (xy 68.634046 75.071739)
(xy 68.626162 75.079507) (xy 68.508059 75.181444) (xy 68.485543 75.193479) (xy 68.480212 75.197854) (xy 68.474192 75.201228)
(xy 68.428088 75.240632) (xy 68.381239 75.27908) (xy 68.355445 75.31051) (xy 67.933597 75.749548) (xy 67.932378 75.7506)
(xy 67.910295 75.7738) (xy 67.888085 75.796915) (xy 67.887091 75.798176) (xy 67.726513 75.966873) (xy 67.538392 76.158179)
(xy 67.341787 76.347342) (xy 67.131548 76.533819) (xy 66.905883 76.71627) (xy 66.655541 76.895864) (xy 66.528502 76.978691)
(xy 66.394776 77.057452) (xy 66.252701 77.133684) (xy 66.104947 77.205817) (xy 65.953371 77.272934) (xy 65.795787 77.334925)
(xy 65.63153 77.392414) (xy 65.461652 77.444902) (xy 65.286175 77.492169) (xy 65.105587 77.533609) (xy 64.919243 77.569223)
(xy 64.726517 77.598546) (xy 64.526629 77.622177) (xy 64.321783 77.639703) (xy 64.112142 77.649987) (xy 63.895312 77.653706)
(xy 63.490844 77.647274) (xy 63.102709 77.627704) (xy 62.726832 77.593071) (xy 62.553355 77.570934) (xy 62.380676 77.543766)
(xy 62.210072 77.511701) (xy 62.04423 77.475772) (xy 61.883271 77.435083) (xy 61.725415 77.389313) (xy 61.570518 77.338262)
(xy 61.419693 77.282781) (xy 61.273289 77.22158) (xy 61.12955 77.154841) (xy 61.005501 77.090356) (xy 60.889185 77.02228)
(xy 60.778106 76.94947) (xy 60.670555 76.870558) (xy 60.565042 76.785926) (xy 60.464048 76.697001) (xy 60.366226 76.603071)
(xy 60.271016 76.503916) (xy 60.177838 76.399238) (xy 60.087566 76.291029) (xy 60.002087 76.180882) (xy 59.921229 76.068706)
(xy 59.756872 75.821257) (xy 59.597423 75.552799) (xy 59.582828 75.521739) (xy 59.543466 75.468387) (xy 59.503412 75.413926)
(xy 59.503025 75.413572) (xy 59.50272 75.413159) (xy 59.453716 75.36852) (xy 59.403797 75.32291) (xy 59.403349 75.322639)
(xy 59.402969 75.322293) (xy 59.346044 75.287979) (xy 59.288339 75.253078) (xy 59.287849 75.2529) (xy 59.287407 75.252634)
(xy 59.224646 75.23) (xy 59.161477 75.207112) (xy 59.160963 75.207034) (xy 59.160476 75.206858) (xy 59.094383 75.196884)
(xy 59.028085 75.186778) (xy 58.993794 75.188325) (xy 58.495355 75.162587) (xy 58.444103 75.157539) (xy 58.394548 75.16242)
(xy 58.344814 75.164738) (xy 58.342591 75.165289) (xy 57.591825 75.14578) (xy 57.587666 75.145312) (xy 57.558136 75.144904)
(xy 57.528725 75.14414) (xy 57.524566 75.144441) (xy 55.926617 75.122377) (xy 55.924121 75.122115) (xy 55.893083 75.121914)
(xy 55.861857 75.121483) (xy 55.859341 75.121696) (xy 54.963921 75.115902) (xy 54.961939 75.115705) (xy 54.930224 75.115684)
(xy 54.898615 75.115479) (xy 54.896638 75.115661) (xy 53.965665 75.115031) (xy 53.963301 75.114813) (xy 53.932017 75.115008)
(xy 53.900745 75.114987) (xy 53.898384 75.115218) (xy 52.965985 75.121035) (xy 52.962571 75.120754) (xy 52.932276 75.121245)
(xy 52.902106 75.121433) (xy 52.898713 75.121789) (xy 51.986327 75.13657) (xy 51.980726 75.136136) (xy 51.954273 75.136689)
(xy 51.927757 75.136689) (xy 51.920613 75.137393) (xy 51.558023 75.144973) (xy 51.551488 75.144592) (xy 51.524425 75.145675)
(xy 51.497301 75.146242) (xy 51.490792 75.147021) (xy 51.173771 75.159707) (xy 51.166391 75.159436) (xy 51.140118 75.161054)
(xy 51.113894 75.162103) (xy 51.106599 75.163117) (xy 50.829328 75.180189) (xy 50.82018 75.180097) (xy 50.795749 75.182256)
(xy 50.771291 75.183762) (xy 50.762267 75.185215) (xy 50.518466 75.206762) (xy 50.50628 75.207073) (xy 50.48499 75.20972)
(xy 50.463596 75.211611) (xy 50.451605 75.213871) (xy 50.236967 75.24056) (xy 50.222123 75.241585) (xy 50.203608 75.244708)
(xy 50.184967 75.247026) (xy 50.170447 75.250302) (xy 49.981637 75.28215) (xy 49.964576 75.28419) (xy 49.948455 75.287747)
(xy 49.932207 75.290488) (xy 49.915651 75.294986) (xy 49.747435 75.332105) (xy 49.725446 75.336203) (xy 49.714604 75.339349)
(xy 49.703584 75.341781) (xy 49.682319 75.348718) (xy 49.53096 75.392642) (xy 49.507997 75.39859) (xy 49.498651 75.402019)
(xy 49.489108 75.404788) (xy 49.467117 75.413587) (xy 49.331098 75.463487) (xy 49.308198 75.471175) (xy 49.299532 75.475067)
(xy 49.290618 75.478337) (xy 49.268874 75.488835) (xy 49.13975 75.546823) (xy 49.11652 75.556574) (xy 49.109074 75.560599)
(xy 49.101359 75.564064) (xy 49.079517 75.576578) (xy 48.957829 75.642362) (xy 48.939523 75.651362) (xy 48.928254 75.65835)
(xy 48.91659 75.664656) (xy 48.899685 75.676067) (xy 48.7849 75.747251) (xy 48.76992 75.755569) (xy 48.756316 75.764977)
(xy 48.74227 75.773688) (xy 48.728673 75.784095) (xy 48.615162 75.862599) (xy 48.604092 75.869304) (xy 48.587514 75.88172)
(xy 48.57047 75.893507) (xy 48.560593 75.901881) (xy 48.442797 75.9901) (xy 48.433941 75.995844) (xy 48.415862 76.010272)
(xy 48.397374 76.024118) (xy 48.389596 76.031233) (xy 48.094009 76.267129) (xy 48.070717 76.279579) (xy 48.021147 76.320261)
(xy 47.971205 76.360435) (xy 47.969029 76.363034) (xy 47.966413 76.365181) (xy 47.940299 76.397001) (xy 47.87604 76.451835)
(xy 47.869139 76.456901) (xy 47.850474 76.473652) (xy 47.831372 76.489952) (xy 47.825429 76.496127) (xy 47.66266 76.642202)
(xy 47.474396 76.808055) (xy 47.279039 76.970445) (xy 47.066222 77.130812) (xy 46.962164 77.202386) (xy 46.849064 77.273848)
(xy 46.728031 77.344065) (xy 46.603316 77.410616) (xy 46.471332 77.472989) (xy 46.331109 77.532454) (xy 46.183311 77.586794)
(xy 46.035852 77.630979) (xy 45.883262 77.667236) (xy 45.727421 77.695468) (xy 45.566067 77.715291) (xy 45.398648 77.727615)
(xy 45.228651 77.731531) (xy 45.05127 77.726927) (xy 44.867628 77.714685) (xy 44.68109 77.694217) (xy 44.488145 77.665132)
(xy 44.289521 77.627813) (xy 44.085817 77.582337) (xy 43.876426 77.528149) (xy 43.661957 77.466808) (xy 43.442892 77.396905)
(xy 43.036065 77.256855) (xy 42.654191 77.117339) (xy 42.292472 76.979228) (xy 41.946078 76.841991) (xy 41.538165 76.675653)
(xy 43.899952 76.675653) (xy 44.055962 76.991214) (xy 44.430745 77.18202) (xy 44.835551 77.296044) (xy 45.254824 77.328902)
(xy 45.672451 77.279334) (xy 46.072383 77.149243) (xy 46.368038 76.991214) (xy 46.524048 76.675653) (xy 45.212 75.363605)
(xy 43.899952 76.675653) (xy 41.538165 76.675653) (xy 41.303048 76.579778) (xy 41.30117 76.578802) (xy 41.271898 76.567075)
(xy 41.242697 76.555168) (xy 41.240668 76.554565) (xy 40.628815 76.309454) (xy 40.623954 76.307025) (xy 40.597571 76.296938)
(xy 40.571387 76.286448) (xy 40.566176 76.284933) (xy 40.274342 76.17335) (xy 40.268355 76.170502) (xy 40.242914 76.161334)
(xy 40.217676 76.151684) (xy 40.211286 76.149936) (xy 39.904891 76.03952) (xy 39.898521 76.036647) (xy 39.873247 76.028116)
(xy 39.848158 76.019075) (xy 39.841385 76.017362) (xy 39.515749 75.907452) (xy 39.50826 75.904285) (xy 39.48387 75.896692)
(xy 39.45969 75.88853) (xy 39.451775 75.886699) (xy 39.102036 75.777811) (xy 39.093991 75.774646) (xy 39.069927 75.767814)
(xy 39.046043 75.760378) (xy 39.037576 75.758629) (xy 38.659115 75.651182) (xy 38.651369 75.648348) (xy 38.626802 75.642008)
(xy 38.602336 75.635062) (xy 38.594201 75.633595) (xy 38.18409 75.527758) (xy 38.176232 75.525097) (xy 38.151527 75.519355)
(xy 38.126968 75.513017) (xy 38.11877 75.511741) (xy 37.67053 75.407558) (xy 37.663292 75.405284) (xy 37.637798 75.39995)
(xy 37.612387 75.394044) (xy 37.604854 75.393058) (xy 37.11964 75.291542) (xy 37.116783 75.290672) (xy 37.086759 75.284663)
(xy 37.056704 75.278375) (xy 37.053727 75.278052) (xy 36.797765 75.226824) (xy 43.067098 75.226824) (xy 43.116666 75.644451)
(xy 43.246757 76.044383) (xy 43.404786 76.340038) (xy 43.720347 76.496048) (xy 45.032395 75.184) (xy 45.391605 75.184)
(xy 46.703653 76.496048) (xy 47.019214 76.340038) (xy 47.21002 75.965255) (xy 47.324044 75.560449) (xy 47.356902 75.141176)
(xy 47.307334 74.723549) (xy 47.177243 74.323617) (xy 47.019214 74.027962) (xy 46.703653 73.871952) (xy 45.391605 75.184)
(xy 45.032395 75.184) (xy 43.720347 73.871952) (xy 43.404786 74.027962) (xy 43.21398 74.402745) (xy 43.099956 74.807551)
(xy 43.067098 75.226824) (xy 36.797765 75.226824) (xy 36.090232 75.085219) (xy 35.450298 74.952316) (xy 35.06466 74.864971)
(xy 34.8268 74.80379) (xy 34.531458 74.719143) (xy 34.516886 74.714114) (xy 34.49914 74.709881) (xy 34.481597 74.704853)
(xy 34.466429 74.702078) (xy 34.231911 74.646137) (xy 34.226504 74.64437) (xy 34.199158 74.638324) (xy 34.171977 74.63184)
(xy 34.166354 74.631071) (xy 33.66166 74.519481) (xy 33.449078 74.469791) (xy 33.249852 74.416224) (xy 33.058595 74.356834)
(xy 32.873832 74.291307) (xy 32.698035 74.220759) (xy 32.531959 74.144235) (xy 32.373725 74.061456) (xy 32.224896 73.973485)
(xy 32.08689 73.880762) (xy 31.959441 73.782927) (xy 31.856117 73.692347) (xy 43.899952 73.692347) (xy 45.212 75.004395)
(xy 46.524048 73.692347) (xy 46.368038 73.376786) (xy 45.993255 73.18598) (xy 45.588449 73.071956) (xy 45.169176 73.039098)
(xy 44.751549 73.088666) (xy 44.351617 73.218757) (xy 44.055962 73.376786) (xy 43.899952 73.692347) (xy 31.856117 73.692347)
(xy 31.840868 73.678979) (xy 31.731743 73.569342) (xy 31.633752 73.455771) (xy 31.545021 73.335139) (xy 31.465604 73.208071)
(xy 31.394895 73.071666) (xy 31.336039 72.934337) (xy 31.289935 72.799989) (xy 31.255197 72.667491) (xy 31.23001 72.535005)
(xy 31.213719 72.404171) (xy 31.205646 72.277518) (xy 31.205646 72.152407) (xy 31.211968 72.028059) (xy 31.224177 71.907554)
(xy 31.236443 71.824) (xy 34.491928 71.824) (xy 34.504188 71.948482) (xy 34.540498 72.06818) (xy 34.599463 72.178494)
(xy 34.678815 72.275185) (xy 34.775506 72.354537) (xy 34.88582 72.413502) (xy 35.005518 72.449812) (xy 35.13 72.462072)
(xy 35.44425 72.459) (xy 35.603 72.30025) (xy 35.603 71.501) (xy 34.65375 71.501) (xy 34.495 71.65975)
(xy 34.491928 71.824) (xy 31.236443 71.824) (xy 31.241486 71.789649) (xy 31.262848 71.676265) (xy 31.286463 71.57215)
(xy 31.343157 71.363678) (xy 31.399873 71.187562) (xy 31.491482 70.924) (xy 34.491928 70.924) (xy 34.495 71.08825)
(xy 34.65375 71.247) (xy 35.603 71.247) (xy 35.603 70.44775) (xy 35.44425 70.289) (xy 35.13 70.285928)
(xy 35.005518 70.298188) (xy 34.88582 70.334498) (xy 34.775506 70.393463) (xy 34.678815 70.472815) (xy 34.599463 70.569506)
(xy 34.540498 70.67982) (xy 34.504188 70.799518) (xy 34.491928 70.924) (xy 31.491482 70.924) (xy 31.521485 70.837682)
(xy 31.629692 70.541183) (xy 31.632552 70.534914) (xy 31.641215 70.509609) (xy 31.650396 70.484452) (xy 31.652114 70.477772)
(xy 31.739172 70.223472) (xy 31.744171 70.211297) (xy 31.75006 70.191666) (xy 31.756701 70.172268) (xy 31.759724 70.159454)
(xy 31.791177 70.054609) (xy 31.796537 70.039607) (xy 31.800834 70.022419) (xy 31.80593 70.005432) (xy 31.808993 69.989783)
(xy 31.836057 69.881526) (xy 31.841973 69.861022) (xy 31.844213 69.8489) (xy 31.8472 69.836952) (xy 31.85032 69.815853)
(xy 31.869174 69.713825) (xy 31.874154 69.690665) (xy 31.875285 69.680753) (xy 31.877096 69.670954) (xy 31.879095 69.647374)
(xy 31.891048 69.542634) (xy 31.891706 69.538) (xy 34.491928 69.538) (xy 34.504188 69.662482) (xy 34.540498 69.78218)
(xy 34.599463 69.892494) (xy 34.678815 69.989185) (xy 34.775506 70.068537) (xy 34.88582 70.127502) (xy 35.005518 70.163812)
(xy 35.13 70.176072) (xy 35.44425 70.173) (xy 35.603 70.01425) (xy 35.603 69.215) (xy 34.65375 69.215)
(xy 34.495 69.37375) (xy 34.491928 69.538) (xy 31.891706 69.538) (xy 31.894452 69.518668) (xy 31.894856 69.509266)
(xy 31.895925 69.499898) (xy 31.8963 69.47565) (xy 31.900928 69.367897) (xy 31.902628 69.342415) (xy 31.902372 69.334297)
(xy 31.90272 69.326187) (xy 31.901311 69.30071) (xy 31.897823 69.190271) (xy 31.897726 69.166057) (xy 31.896762 69.156657)
(xy 31.896464 69.147222) (xy 31.893333 69.123228) (xy 31.882029 69.013003) (xy 31.880548 68.99119) (xy 31.878596 68.979537)
(xy 31.877393 68.967805) (xy 31.873048 68.94641) (xy 31.85438 68.834954) (xy 31.851904 68.815331) (xy 31.848829 68.801815)
(xy 31.846537 68.788129) (xy 31.841368 68.769016) (xy 31.823809 68.69183) (xy 31.820152 68.638) (xy 34.491928 68.638)
(xy 34.495 68.80225) (xy 34.65375 68.961) (xy 35.603 68.961) (xy 35.603 68.16175) (xy 35.44425 68.003)
(xy 35.13 67.999928) (xy 35.005518 68.012188) (xy 34.88582 68.048498) (xy 34.775506 68.107463) (xy 34.678815 68.186815)
(xy 34.599463 68.283506) (xy 34.540498 68.39382) (xy 34.504188 68.513518) (xy 34.491928 68.638) (xy 31.820152 68.638)
(xy 31.820089 68.637076) (xy 31.817516 68.578002) (xy 31.815522 68.569849) (xy 31.814953 68.561475) (xy 31.799513 68.504397)
(xy 31.793491 68.479776) (xy 31.790723 68.471902) (xy 31.779719 68.431223) (xy 31.769198 68.410015) (xy 31.757996 68.373089)
(xy 31.754464 68.358638) (xy 31.748236 68.340913) (xy 31.732966 68.290575) (xy 31.728356 68.281951) (xy 31.623486 67.956269)
(xy 31.577112 67.788611) (xy 31.533817 67.614821) (xy 31.495991 67.4419) (xy 31.464543 67.270415) (xy 31.439055 67.097927)
(xy 31.419723 66.926282) (xy 31.407575 66.756219) (xy 31.402365 66.58544) (xy 31.404092 66.415022) (xy 31.413146 66.246996)
(xy 31.430597 66.079244) (xy 31.456021 65.911438) (xy 31.489653 65.7444) (xy 31.530767 65.583732) (xy 31.587598 65.410612)
(xy 31.656773 65.246639) (xy 31.74019 65.086542) (xy 31.836539 64.93186) (xy 31.945052 64.784927) (xy 32.066823 64.644785)
(xy 32.19969 64.512973) (xy 32.342025 64.392094) (xy 32.494621 64.281971) (xy 32.655502 64.183773) (xy 32.831893 64.095039)
(xy 32.911387 64.060761) (xy 32.999313 64.027533) (xy 33.092083 63.996783) (xy 33.185144 63.969601) (xy 33.276407 63.947407)
(xy 33.372279 63.928744) (xy 33.471042 63.9135) (xy 33.569614 63.902606) (xy 33.668934 63.895957) (xy 33.779568 63.893694)
(xy 34.332165 63.891158) (xy 34.335277 63.891422) (xy 34.365824 63.891004) (xy 34.396336 63.890864) (xy 34.399439 63.890544)
(xy 34.837991 63.884543) (xy 34.843744 63.884933) (xy 34.871641 63.884082) (xy 34.899516 63.883701) (xy 34.90524 63.883058)
(xy 35.216261 63.873575) (xy 35.233228 63.873884) (xy 35.249864 63.872551) (xy 35.266548 63.872042) (xy 35.28338 63.869864)
(xy 35.399808 63.860533) (xy 35.423706 63.861656) (xy 35.466884 63.855157) (xy 35.476731 63.854368) (xy 35.500181 63.850146)
(xy 35.557137 63.841573) (xy 35.566479 63.838208) (xy 35.576253 63.836448) (xy 35.629873 63.815373) (xy 35.652275 63.807303)
(xy 35.661189 63.803063) (xy 35.701834 63.787088) (xy 35.714244 63.779119) (xy 35.732584 63.772735) (xy 35.764921 63.753731)
(xy 35.768264 63.752141) (xy 35.793962 63.736664) (xy 35.819766 63.721499) (xy 35.822751 63.719325) (xy 35.854889 63.699969)
(xy 35.873703 63.682844) (xy 35.900055 63.6671) (xy 35.948272 63.623524) (xy 35.996809 63.580291) (xy 36.018677 63.551331)
(xy 36.037274 63.530872) (xy 36.081877 63.482187) (xy 36.100118 63.45212) (xy 36.1211 63.423913) (xy 36.14938 63.36434)
(xy 36.170097 63.321139) (xy 36.182683 63.29593) (xy 36.184632 63.290827) (xy 36.186994 63.285902) (xy 36.196623 63.259438)
(xy 36.234601 63.16002) (xy 36.241489 63.144301) (xy 36.246599 63.128611) (xy 36.252487 63.113197) (xy 36.25701 63.096642)
(xy 36.303993 62.952381) (xy 36.31003 62.936509) (xy 36.314399 62.920427) (xy 36.319565 62.904566) (xy 36.323219 62.887965)
(xy 36.363621 62.739263) (xy 36.369495 62.720716) (xy 36.372433 62.706831) (xy 36.376157 62.693125) (xy 36.379395 62.673928)
(xy 36.414811 62.50656) (xy 36.419178 62.489907) (xy 36.42177 62.473669) (xy 36.425175 62.457579) (xy 36.427071 62.440466)
(xy 36.470776 62.166703) (xy 36.501551 62.01397) (xy 36.541419 61.85173) (xy 36.572991 61.751151) (xy 36.606999 61.669035)
(xy 36.644714 61.594026) (xy 36.688068 61.521922) (xy 36.739741 61.445429) (xy 36.800882 61.361583) (xy 36.915878 61.207598)
(xy 36.916856 61.206711) (xy 36.95607 61.15378) (xy 36.975585 61.127648) (xy 36.976271 61.126511) (xy 36.997179 61.09829)
(xy 37.011058 61.068906) (xy 37.027859 61.041085) (xy 37.039803 61.008051) (xy 37.054808 60.976283) (xy 37.062688 60.944754)
(xy 37.073738 60.914192) (xy 37.079008 60.879456) (xy 37.087526 60.845376) (xy 37.089104 60.812918) (xy 37.093979 60.780785)
(xy 37.092371 60.74569) (xy 37.094077 60.710602) (xy 37.089292 60.678457) (xy 37.087805 60.645994) (xy 37.079384 60.611894)
(xy 37.079188 60.610581) (xy 37.071242 60.578929) (xy 37.055453 60.514997) (xy 37.054893 60.513802) (xy 37.035362 60.436001)
(xy 37.032629 60.421047) (xy 37.029889 60.387936) (xy 37.029658 60.381297) (xy 37.029658 60.359544) (xy 37.028487 60.347658)
(xy 37.016779 60.011241) (xy 37.01556 59.833572) (xy 37.018648 59.646687) (xy 37.026562 59.455048) (xy 37.041568 59.25876)
(xy 37.064389 59.054569) (xy 37.096266 58.842259) (xy 37.137666 58.622658) (xy 37.19015 58.397215) (xy 37.256366 58.161246)
(xy 37.335526 57.917118) (xy 37.430649 57.663861) (xy 37.542098 57.401374) (xy 37.672793 57.128944) (xy 37.837581 56.818109)
(xy 37.868763 56.759771) (xy 37.869416 56.757617) (xy 38.093386 56.346361) (xy 38.097565 56.339904) (xy 38.109453 56.316858)
(xy 38.121882 56.294036) (xy 38.124887 56.286939) (xy 38.375366 55.801369) (xy 38.37963 55.794424) (xy 38.390783 55.771482)
(xy 38.402478 55.74881) (xy 38.405486 55.741236) (xy 38.654654 55.228681) (xy 38.658844 55.221469) (xy 38.669348 55.198454)
(xy 38.680422 55.175674) (xy 38.683319 55.167842) (xy 38.933478 54.619726) (xy 38.937264 54.612852) (xy 38.947431 54.589153)
(xy 38.958154 54.565659) (xy 38.9607 54.558224) (xy 39.214923 53.965661) (xy 39.218358 53.959093) (xy 39.228188 53.934742)
(xy 39.238533 53.910629) (xy 39.240772 53.903569) (xy 39.501795 53.256961) (xy 39.504933 53.250647) (xy 39.514389 53.225762)
(xy 39.524346 53.201096) (xy 39.52633 53.194335) (xy 39.795839 52.485067) (xy 39.798293 52.479917) (xy 39.807782 52.453635)
(xy 39.817713 52.427501) (xy 39.819208 52.421992) (xy 40.109962 51.616728) (xy 40.279078 51.157888) (xy 40.445563 50.747436)
(xy 40.612951 50.372564) (xy 40.781386 50.028099) (xy 40.951752 49.709452) (xy 41.125026 49.410791) (xy 41.302503 49.127838)
(xy 41.486072 48.853759) (xy 41.676271 48.584258) (xy 41.873479 48.315454) (xy 42.277203 47.782463) (xy 42.277515 47.782125)
(xy 42.297226 47.756029) (xy 42.317546 47.729203) (xy 42.317797 47.728794) (xy 42.736041 47.17506) (xy 42.739699 47.170965)
(xy 42.756284 47.14826) (xy 42.77328 47.125758) (xy 42.776152 47.12106) (xy 42.982043 46.839192) (xy 42.985696 46.834965)
(xy 43.001885 46.812027) (xy 43.01844 46.789363) (xy 43.021277 46.784551) (xy 43.234298 46.482725) (xy 43.235946 46.48079)
(xy 43.253657 46.455296) (xy 43.271629 46.429832) (xy 43.272889 46.427613) (xy 43.433042 46.197083) (xy 43.435822 46.193733)
(xy 43.452215 46.169485) (xy 43.468948 46.145399) (xy 43.471073 46.14159) (xy 43.955708 45.424736) (xy 43.958759 45.420951)
(xy 43.974515 45.396917) (xy 43.990664 45.37303) (xy 43.992987 45.368741) (xy 44.279392 44.931863) (xy 44.574344 44.496646)
(xy 45.060767 43.818349) (xy 45.061772 43.817193) (xy 45.080256 43.791172) (xy 45.099079 43.764924) (xy 45.099854 43.763582)
(xy 45.346091 43.41694) (xy 45.353574 43.407708) (xy 45.365561 43.38953) (xy 45.378175 43.371773) (xy 45.384077 43.361453)
(xy 45.509222 43.171679) (xy 45.541579 43.126017) (xy 45.560057 43.084655) (xy 45.581177 43.04456) (xy 45.587523 43.023175)
(xy 45.596617 43.002818) (xy 45.606671 42.958645) (xy 45.619562 42.915203) (xy 45.621613 42.892996) (xy 45.626563 42.87125)
(xy 45.627806 42.825956) (xy 45.631974 42.780841) (xy 45.626184 42.7255) (xy 45.625951 42.710844) (xy 45.623812 42.692167)
(xy 45.622482 42.673401) (xy 45.620918 42.664232) (xy 45.600613 42.353481) (xy 45.564916 41.806608) (xy 45.529602 41.055051)
(xy 45.468408 39.5918) (xy 45.468462 39.590783) (xy 45.466995 39.55803) (xy 45.465638 39.525575) (xy 45.465497 39.524575)
(xy 45.404385 38.159892) (xy 45.340572 36.630372) (xy 45.278562 34.750926) (xy 45.289027 33.958) (xy 49.711928 33.958)
(xy 49.724188 34.082482) (xy 49.760498 34.20218) (xy 49.819463 34.312494) (xy 49.898815 34.409185) (xy 49.995506 34.488537)
(xy 50.10582 34.547502) (xy 50.225518 34.583812) (xy 50.35 34.596072) (xy 50.51425 34.593) (xy 50.673 34.43425)
(xy 50.673 33.485) (xy 49.87375 33.485) (xy 49.715 33.64375) (xy 49.711928 33.958) (xy 45.289027 33.958)
(xy 45.305103 32.740062) (xy 45.3179 32.499341) (xy 45.340851 32.236398) (xy 45.374531 31.955166) (xy 45.420254 31.658866)
(xy 45.478265 31.348581) (xy 45.548205 31.02911) (xy 45.631779 30.702359) (xy 45.729361 30.370601) (xy 45.840578 30.035703)
(xy 45.966245 29.701841) (xy 46.106367 29.371151) (xy 46.261725 29.045579) (xy 46.435569 28.72228) (xy 46.524107 28.570333)
(xy 46.618709 28.418721) (xy 46.718666 28.26786) (xy 46.82141 28.120731) (xy 46.927157 27.979141) (xy 47.038706 27.839854)
(xy 47.165347 27.690189) (xy 47.294651 27.548312) (xy 47.428435 27.410929) (xy 47.566202 27.279152) (xy 47.709084 27.151406)
(xy 47.854978 27.028419) (xy 48.004923 26.910993) (xy 48.158533 26.797901) (xy 48.315151 26.690489) (xy 48.477255 26.587277)
(xy 48.643268 26.487792) (xy 48.81144 26.394028) (xy 48.984489 26.304751) (xy 49.161489 26.219008) (xy 49.340445 26.139269)
(xy 49.52444 26.063579) (xy 49.712812 25.9917) (xy 49.903929 25.924283) (xy 50.097838 25.861711) (xy 50.294916 25.803454)
(xy 50.495784 25.749973) (xy 50.700625 25.700485) (xy 50.907547 25.655474) (xy 51.113224 25.616063) (xy 51.547615 25.547274)
(xy 51.988206 25.495999) (xy 52.439007 25.462348) (xy 52.899685 25.445758) (xy 52.927212 25.445758) (xy 52.927475 25.445732)
(xy 53.323111 25.445431)
)
)
)
)