initial alu board

This commit is contained in:
Lena 2018-05-17 16:29:50 +02:00
parent c1e2d4f4e1
commit 6427812bb7
7 changed files with 8492 additions and 0 deletions

263
boards/alu/alu-cache.lib Normal file
View File

@ -0,0 +1,263 @@
EESchema-LIBRARY Version 2.3
#encoding utf-8
#
# Conn_01x02
#
DEF Conn_01x02 J 0 40 Y N 1 F N
F0 "J" 0 100 50 H V C CNN
F1 "Conn_01x02" 0 -200 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_??x*mm*
Connector*:*1x??x*mm*
Pin?Header?Straight?1X*
Pin?Header?Angled?1X*
Socket?Strip?Straight?1X*
Socket?Strip?Angled?1X*
$ENDFPLIST
DRAW
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 50 50 -150 1 1 10 f
X Pin_1 1 -200 0 150 R 50 50 1 1 P
X Pin_2 2 -200 -100 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Conn_01x04
#
DEF Conn_01x04 J 0 40 Y N 1 F N
F0 "J" 0 200 50 H V C CNN
F1 "Conn_01x04" 0 -300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_??x*mm*
Connector*:*1x??x*mm*
Pin?Header?Straight?1X*
Pin?Header?Angled?1X*
Socket?Strip?Straight?1X*
Socket?Strip?Angled?1X*
$ENDFPLIST
DRAW
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 150 50 -250 1 1 10 f
X Pin_1 1 -200 100 150 R 50 50 1 1 P
X Pin_2 2 -200 0 150 R 50 50 1 1 P
X Pin_3 3 -200 -100 150 R 50 50 1 1 P
X Pin_4 4 -200 -200 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Conn_01x16
#
DEF Conn_01x16 J 0 40 Y N 1 F N
F0 "J" 0 800 50 H V C CNN
F1 "Conn_01x16" 0 -900 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_??x*mm*
Connector*:*1x??x*mm*
Pin?Header?Straight?1X*
Pin?Header?Angled?1X*
Socket?Strip?Straight?1X*
Socket?Strip?Angled?1X*
$ENDFPLIST
DRAW
S -50 -795 0 -805 1 1 6 N
S -50 -695 0 -705 1 1 6 N
S -50 -595 0 -605 1 1 6 N
S -50 -495 0 -505 1 1 6 N
S -50 -395 0 -405 1 1 6 N
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 305 0 295 1 1 6 N
S -50 405 0 395 1 1 6 N
S -50 505 0 495 1 1 6 N
S -50 605 0 595 1 1 6 N
S -50 705 0 695 1 1 6 N
S -50 750 50 -850 1 1 10 f
X Pin_1 1 -200 700 150 R 50 50 1 1 P
X Pin_2 2 -200 600 150 R 50 50 1 1 P
X Pin_3 3 -200 500 150 R 50 50 1 1 P
X Pin_4 4 -200 400 150 R 50 50 1 1 P
X Pin_5 5 -200 300 150 R 50 50 1 1 P
X Pin_6 6 -200 200 150 R 50 50 1 1 P
X Pin_7 7 -200 100 150 R 50 50 1 1 P
X Pin_8 8 -200 0 150 R 50 50 1 1 P
X Pin_9 9 -200 -100 150 R 50 50 1 1 P
X Pin_10 10 -200 -200 150 R 50 50 1 1 P
X Pin_11 11 -200 -300 150 R 50 50 1 1 P
X Pin_12 12 -200 -400 150 R 50 50 1 1 P
X Pin_13 13 -200 -500 150 R 50 50 1 1 P
X Pin_14 14 -200 -600 150 R 50 50 1 1 P
X Pin_15 15 -200 -700 150 R 50 50 1 1 P
X Pin_16 16 -200 -800 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# GND
#
DEF GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# PWR_FLAG
#
DEF PWR_FLAG #FLG 0 0 N N 1 F P
F0 "#FLG" 0 75 50 H I C CNN
F1 "PWR_FLAG" 0 150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
X pwr 1 0 0 0 U 50 50 0 0 w
P 6 0 1 0 0 0 0 50 -40 75 0 100 40 75 0 50 N
ENDDRAW
ENDDEF
#
# VCC
#
DEF VCC #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "VCC" 0 150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
C 0 75 25 0 1 0 N
P 2 0 1 0 0 0 0 50 N
X VCC 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# XC9572XL-TQ100
#
DEF XC9572XL-TQ100 U 0 30 Y Y 1 F N
F0 "U" -850 2650 50 H V C CNN
F1 "XC9572XL-TQ100" 700 -2650 50 H V C CNN
F2 "Housings_QFP:TQFP-100_14x14mm_Pitch0.5mm" 0 100 50 H I C CNN
F3 "" -50 50 50 H I C CNN
$FPLIST
TQFP*14x14mm*Pitch0.5mm*
$ENDFPLIST
DRAW
S -900 -2600 900 2600 0 1 10 f
X I/O/GTS3 1 -1200 -100 300 R 50 50 1 1 B
X NC 2 -900 2500 0 R 50 50 1 1 N N
X I/O/GTS1 3 -1200 -200 300 R 50 50 1 1 B
X I/O/GTS2 4 -1200 -300 300 R 50 50 1 1 B
X VCC 5 -400 2900 300 D 50 50 1 1 W
X P6 6 -1200 -400 300 R 50 50 1 1 B
X NC 7 -900 2400 0 R 50 50 1 1 N N
X P8 8 -1200 -500 300 R 50 50 1 1 B
X P9 9 -1200 -600 300 R 50 50 1 1 B
X P10 10 -1200 -700 300 R 50 50 1 1 B
X P20 20 -1200 1200 300 R 50 50 1 1 B
X P30 30 -1200 500 300 R 50 50 1 1 B
X P40 40 -1200 100 300 R 50 50 1 1 B
X P50 50 1200 1200 300 L 50 50 1 1 B
X P60 60 1200 400 300 L 50 50 1 1 B
X P70 70 1200 -500 300 L 50 50 1 1 B
X NC 80 900 2300 0 L 50 50 1 1 N N
X P90 90 1200 -1800 300 L 50 50 1 1 B
X P11 11 -1200 -800 300 R 50 50 1 1 B
X GND 21 -400 -2900 300 U 50 50 1 1 W
X GND 31 -300 -2900 300 U 50 50 1 1 W
X P41 41 1200 1500 300 L 50 50 1 1 B
X VCCIO 51 300 2900 300 D 50 50 1 1 W
X P61 61 1200 300 300 L 50 50 1 1 B
X P71 71 1200 -600 300 L 50 50 1 1 B
X P81 81 1200 -1300 300 L 50 50 1 1 B
X P91 91 -1200 -1100 300 R 50 50 1 1 B
X P12 12 -1200 -900 300 R 50 50 1 1 B
X I/O/GCK1 22 -1200 1100 300 R 50 50 1 1 B
X P32 32 1200 1800 300 L 50 50 1 1 B
X P42 42 1200 1400 300 L 50 50 1 1 B
X P52 52 1200 1100 300 L 50 50 1 1 B
X GND 62 -100 -2900 300 U 50 50 1 1 W
X P72 72 1200 -700 300 L 50 50 1 1 B
X P82 82 1200 -1400 300 L 50 50 1 1 B
X P92 92 -1200 -1200 300 R 50 50 1 1 B
X P13 13 -1200 1800 300 R 50 50 1 1 B
X I/O/GCK2 23 -1200 1000 300 R 50 50 1 1 B
X P33 33 -1200 400 300 R 50 50 1 1 B
X NC 43 -900 2000 0 R 50 50 1 1 N N
X P53 53 1200 1000 300 L 50 50 1 1 B
X P63 63 1200 200 300 L 50 50 1 1 B
X NC 73 900 2400 0 L 50 50 1 1 N N
X TDO 83 1200 -2400 300 L 50 50 1 1 O
X P93 93 -1200 -1300 300 R 50 50 1 1 B
X P14 14 -1200 1700 300 R 50 50 1 1 B
X NC 24 -900 2200 0 R 50 50 1 1 N N
X NC 34 -900 2100 0 R 50 50 1 1 N N
X GND 44 -200 -2900 300 U 50 50 1 1 W
X P54 54 1200 900 300 L 50 50 1 1 B
X P64 64 1200 100 300 L 50 50 1 1 B
X P74 74 1200 -800 300 L 50 50 1 1 B
X GND 84 200 -2900 300 U 50 50 1 1 W
X P94 94 -1200 -1400 300 R 50 50 1 1 B
X P15 15 -1200 1600 300 R 50 50 1 1 B
X P25 25 -1200 900 300 R 50 50 1 1 B
X P35 35 1200 1700 300 L 50 50 1 1 B
X TDI 45 1200 -2100 300 L 50 50 1 1 I
X P55 55 1200 800 300 L 50 50 1 1 B
X P65 65 1200 -100 300 L 50 50 1 1 B
X GND 75 100 -2900 300 U 50 50 1 1 W
X P85 85 1200 -1500 300 L 50 50 1 1 B
X P95 95 -1200 -1500 300 R 50 50 1 1 B
X P16 16 -1200 1500 300 R 50 50 1 1 B
X VCCIO 26 100 2900 300 D 50 50 1 1 W
X P36 36 -1200 300 300 R 50 50 1 1 B
X NC 46 900 2500 0 L 50 50 1 1 N N
X P56 56 1200 700 300 L 50 50 1 1 B
X P66 66 1200 -200 300 L 50 50 1 1 B
X P76 76 1200 -900 300 L 50 50 1 1 B
X P86 86 1200 -1600 300 L 50 50 1 1 B
X P96 96 -1200 -1600 300 R 50 50 1 1 B
X P17 17 -1200 1400 300 R 50 50 1 1 B
X I/O/GCK3 27 -1200 800 300 R 50 50 1 1 B
X P37 37 1200 1600 300 L 50 50 1 1 B
X TMS 47 1200 -2200 300 L 50 50 1 1 I
X VCC 57 -300 2900 300 D 50 50 1 1 W
X P67 67 1200 -300 300 L 50 50 1 1 B
X P77 77 1200 -1000 300 L 50 50 1 1 B
X P87 87 -1200 -1000 300 R 50 50 1 1 B
X P97 97 -1200 -1700 300 R 50 50 1 1 B
X P18 18 -1200 1300 300 R 50 50 1 1 B
X P28 28 -1200 700 300 R 50 50 1 1 B
X VCCIO 38 200 2900 300 D 50 50 1 1 W
X TCK 48 1200 -2300 300 L 50 50 1 1 I
X P58 58 1200 600 300 L 50 50 1 1 B
X P68 68 1200 -400 300 L 50 50 1 1 B
X P78 78 1200 -1100 300 L 50 50 1 1 B
X VCCIO 88 400 2900 300 D 50 50 1 1 W
X VCC 98 -200 2900 300 D 50 50 1 1 W
X NC 19 -900 2300 0 R 50 50 1 1 N N
X P29 29 -1200 600 300 R 50 50 1 1 B
X P39 39 -1200 200 300 R 50 50 1 1 B
X P49 49 1200 1300 300 L 50 50 1 1 B
X P59 59 1200 500 300 L 50 50 1 1 B
X GND 69 0 -2900 300 U 50 50 1 1 W
X P79 79 1200 -1200 300 L 50 50 1 1 B
X P89 89 1200 -1700 300 L 50 50 1 1 B
X I/O/GSR 99 -1200 -1800 300 R 50 50 1 1 B
X GND 100 300 -2900 300 U 50 50 1 1 W
ENDDRAW
ENDDEF
#
#End Library

1
boards/alu/alu.kicad_pcb Normal file
View File

@ -0,0 +1 @@
(kicad_pcb (version 4) (host kicad "dummy file") )

63
boards/alu/alu.pro Normal file
View File

@ -0,0 +1,63 @@
update=2018-05-03T14:32:57 CEST
version=1
last_client=kicad
[pcbnew]
version=1
LastNetListRead=
UseCmpFile=1
PadDrill=0.600000000000
PadDrillOvalY=0.600000000000
PadSizeH=1.500000000000
PadSizeV=1.500000000000
PcbTextSizeV=1.500000000000
PcbTextSizeH=1.500000000000
PcbTextThickness=0.300000000000
ModuleTextSizeV=1.000000000000
ModuleTextSizeH=1.000000000000
ModuleTextSizeThickness=0.150000000000
SolderMaskClearance=0.000000000000
SolderMaskMinWidth=0.000000000000
DrawSegmentWidth=0.200000000000
BoardOutlineThickness=0.100000000000
ModuleOutlineThickness=0.150000000000
[cvpcb]
version=1
NetIExt=net
[eeschema]
version=1
LibDir=
[eeschema/libraries]
LibName1=power
LibName2=device
LibName3=switches
LibName4=relays
LibName5=motors
LibName6=transistors
LibName7=conn
LibName8=linear
LibName9=regul
LibName10=74xx
LibName11=cmos4000
LibName12=adc-dac
LibName13=memory
LibName14=xilinx
LibName15=microcontrollers
LibName16=dsp
LibName17=microchip
LibName18=analog_switches
LibName19=motorola
LibName20=texas
LibName21=intel
LibName22=audio
LibName23=interface
LibName24=digital-audio
LibName25=philips
LibName26=display
LibName27=cypress
LibName28=siliconi
LibName29=opto
LibName30=atmel
LibName31=contrib
LibName32=valves
[general]
version=1

440
boards/alu/alu.sch Normal file
View File

@ -0,0 +1,440 @@
EESchema Schematic File Version 2
LIBS:power
LIBS:device
LIBS:switches
LIBS:relays
LIBS:motors
LIBS:transistors
LIBS:conn
LIBS:linear
LIBS:regul
LIBS:74xx
LIBS:cmos4000
LIBS:adc-dac
LIBS:memory
LIBS:xilinx
LIBS:microcontrollers
LIBS:dsp
LIBS:microchip
LIBS:analog_switches
LIBS:motorola
LIBS:texas
LIBS:intel
LIBS:audio
LIBS:interface
LIBS:digital-audio
LIBS:philips
LIBS:display
LIBS:cypress
LIBS:siliconi
LIBS:opto
LIBS:atmel
LIBS:contrib
LIBS:valves
LIBS:alu-cache
EELAYER 25 0
EELAYER END
$Descr A3 16535 11693
encoding utf-8
Sheet 1 1
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L XC9572XL-TQ100 U2
U 1 1 5AEB0F4B
P 8300 7250
F 0 "U2" H 7450 9900 50 0000 C CNN
F 1 "XC9572XL-TQ100" H 9000 4600 50 0000 C CNN
F 2 "footprints:XC9572XL-VQ44" H 8300 7350 50 0001 C CNN
F 3 "" H 8250 7300 50 0001 C CNN
1 8300 7250
0 1 1 0
$EndComp
$Comp
L XC9572XL-TQ100 U1
U 1 1 5AEB0FA8
P 8300 3200
F 0 "U1" H 7450 5850 50 0000 C CNN
F 1 "XC9572XL-TQ100" H 9000 550 50 0000 C CNN
F 2 "footprints:XC9572XL-VQ44" H 8300 3300 50 0001 C CNN
F 3 "" H 8250 3250 50 0001 C CNN
1 8300 3200
0 1 1 0
$EndComp
$Comp
L Conn_01x16 J4
U 1 1 5AEB13AD
P 7150 5150
F 0 "J4" H 7150 5950 50 0000 C CNN
F 1 "Conn_01x16" H 7150 4250 50 0000 C CNN
F 2 "Pin_Headers:Pin_Header_Angled_1x16_Pitch2.54mm" H 7150 5150 50 0001 C CNN
F 3 "" H 7150 5150 50 0001 C CNN
1 7150 5150
0 -1 -1 0
$EndComp
$Comp
L Conn_01x16 J3
U 1 1 5AEB13CF
P 7200 1800
F 0 "J3" H 7200 2600 50 0000 C CNN
F 1 "Conn_01x16" H 7200 900 50 0000 C CNN
F 2 "Pin_Headers:Pin_Header_Angled_1x16_Pitch2.54mm" H 7200 1800 50 0001 C CNN
F 3 "" H 7200 1800 50 0001 C CNN
1 7200 1800
0 -1 -1 0
$EndComp
$Comp
L Conn_01x16 J5
U 1 1 5AEB143D
P 11050 5150
F 0 "J5" H 11050 5950 50 0000 C CNN
F 1 "Conn_01x16" H 11050 4250 50 0000 C CNN
F 2 "Pin_Headers:Pin_Header_Angled_1x16_Pitch2.54mm" H 11050 5150 50 0001 C CNN
F 3 "" H 11050 5150 50 0001 C CNN
1 11050 5150
1 0 0 -1
$EndComp
$Comp
L Conn_01x02 J2
U 1 1 5AEB171D
P 3950 2400
F 0 "J2" H 3950 2500 50 0000 C CNN
F 1 "Conn_01x02" H 3950 2200 50 0000 C CNN
F 2 "Pin_Headers:Pin_Header_Angled_1x02_Pitch2.54mm" H 3950 2400 50 0001 C CNN
F 3 "" H 3950 2400 50 0001 C CNN
1 3950 2400
-1 0 0 1
$EndComp
$Comp
L Conn_01x04 J1
U 1 1 5AEB1744
P 2000 9300
F 0 "J1" H 2000 9500 50 0000 C CNN
F 1 "Conn_01x04" H 2000 9000 50 0000 C CNN
F 2 "Pin_Headers:Pin_Header_Straight_1x04_Pitch2.54mm" H 2000 9300 50 0001 C CNN
F 3 "" H 2000 9300 50 0001 C CNN
1 2000 9300
0 1 1 0
$EndComp
$Comp
L GND #PWR01
U 1 1 5AEB5098
P 4550 2150
F 0 "#PWR01" H 4550 1900 50 0001 C CNN
F 1 "GND" H 4550 2000 50 0000 C CNN
F 2 "" H 4550 2150 50 0001 C CNN
F 3 "" H 4550 2150 50 0001 C CNN
1 4550 2150
-1 0 0 1
$EndComp
$Comp
L VCC #PWR02
U 1 1 5AEB50BA
P 4450 2950
F 0 "#PWR02" H 4450 2800 50 0001 C CNN
F 1 "VCC" H 4450 3100 50 0000 C CNN
F 2 "" H 4450 2950 50 0001 C CNN
F 3 "" H 4450 2950 50 0001 C CNN
1 4450 2950
0 1 1 0
$EndComp
NoConn ~ 6500 8450
NoConn ~ 6600 8450
NoConn ~ 6700 8450
NoConn ~ 6800 8450
NoConn ~ 6900 8450
NoConn ~ 7000 8450
NoConn ~ 7100 8450
NoConn ~ 7200 8450
NoConn ~ 7300 8450
NoConn ~ 7400 8450
NoConn ~ 7500 8450
NoConn ~ 7600 8450
NoConn ~ 7700 8450
NoConn ~ 7800 8450
NoConn ~ 7900 8450
NoConn ~ 8000 8450
NoConn ~ 8100 8450
NoConn ~ 8200 8450
NoConn ~ 8400 8450
NoConn ~ 8500 8450
NoConn ~ 8600 8450
NoConn ~ 8700 8450
NoConn ~ 8800 8450
NoConn ~ 8900 8450
NoConn ~ 9000 8450
NoConn ~ 9100 8450
NoConn ~ 9200 8450
NoConn ~ 9300 8450
NoConn ~ 9400 8450
NoConn ~ 9500 8450
NoConn ~ 9600 8450
NoConn ~ 9700 8450
NoConn ~ 9800 8450
NoConn ~ 9900 8450
NoConn ~ 10000 8450
NoConn ~ 10100 8450
NoConn ~ 5400 6950
NoConn ~ 5400 7050
NoConn ~ 5400 7150
NoConn ~ 5400 7250
NoConn ~ 5400 7350
NoConn ~ 5400 7450
NoConn ~ 5400 7550
NoConn ~ 11200 7650
NoConn ~ 11200 7550
NoConn ~ 11200 7450
NoConn ~ 11200 7350
NoConn ~ 11200 7050
NoConn ~ 11200 6950
NoConn ~ 9300 6050
NoConn ~ 9200 6050
NoConn ~ 9100 6050
NoConn ~ 9000 6050
NoConn ~ 8900 6050
NoConn ~ 8800 6050
NoConn ~ 8700 6050
NoConn ~ 8600 6050
NoConn ~ 8500 6050
NoConn ~ 8400 6050
NoConn ~ 8200 6050
NoConn ~ 8100 6050
NoConn ~ 5400 2900
NoConn ~ 5400 3000
NoConn ~ 5400 3100
NoConn ~ 5400 3200
NoConn ~ 5400 3300
NoConn ~ 5400 3400
NoConn ~ 5400 3500
NoConn ~ 6500 4400
NoConn ~ 6600 4400
NoConn ~ 6700 4400
NoConn ~ 6800 4400
NoConn ~ 6900 4400
NoConn ~ 7000 4400
NoConn ~ 7100 4400
NoConn ~ 7200 4400
NoConn ~ 7300 4400
NoConn ~ 7400 4400
NoConn ~ 7500 4400
NoConn ~ 7600 4400
NoConn ~ 7700 4400
NoConn ~ 7800 4400
NoConn ~ 7900 4400
NoConn ~ 8000 4400
NoConn ~ 8100 4400
NoConn ~ 8200 4400
NoConn ~ 8400 4400
NoConn ~ 8500 4400
NoConn ~ 8600 4400
NoConn ~ 8700 4400
NoConn ~ 8800 4400
NoConn ~ 8900 4400
NoConn ~ 9000 4400
NoConn ~ 9100 4400
NoConn ~ 9200 4400
NoConn ~ 9300 4400
NoConn ~ 8100 2000
NoConn ~ 8200 2000
NoConn ~ 8400 2000
NoConn ~ 8500 2000
NoConn ~ 8600 2000
NoConn ~ 8700 2000
NoConn ~ 8800 2000
NoConn ~ 8900 2000
NoConn ~ 9000 2000
NoConn ~ 9100 2000
NoConn ~ 9200 2000
NoConn ~ 9300 2000
NoConn ~ 9400 2000
NoConn ~ 9500 2000
NoConn ~ 9600 2000
NoConn ~ 9700 2000
NoConn ~ 9800 2000
NoConn ~ 9900 2000
NoConn ~ 10000 2000
NoConn ~ 11200 2900
NoConn ~ 11200 3000
NoConn ~ 11200 3300
NoConn ~ 11200 3400
NoConn ~ 11200 3500
NoConn ~ 11200 3600
NoConn ~ 10100 2000
$Comp
L PWR_FLAG #FLG03
U 1 1 5AEB6211
P 4550 2300
F 0 "#FLG03" H 4550 2375 50 0001 C CNN
F 1 "PWR_FLAG" H 4550 2450 50 0000 C CNN
F 2 "" H 4550 2300 50 0001 C CNN
F 3 "" H 4550 2300 50 0001 C CNN
1 4550 2300
-1 0 0 1
$EndComp
$Comp
L PWR_FLAG #FLG04
U 1 1 5AEB6233
P 4150 2950
F 0 "#FLG04" H 4150 3025 50 0001 C CNN
F 1 "PWR_FLAG" H 4150 3100 50 0000 C CNN
F 2 "" H 4150 2950 50 0001 C CNN
F 3 "" H 4150 2950 50 0001 C CNN
1 4150 2950
0 -1 -1 0
$EndComp
Wire Wire Line
2000 5300 2000 9100
Wire Wire Line
1900 5200 1900 9100
Wire Wire Line
1900 5200 6000 5200
Wire Wire Line
6000 5200 6000 4400
Wire Wire Line
2000 5300 6100 5300
Wire Wire Line
6100 5300 6100 4400
Wire Wire Line
2000 8800 6200 8800
Wire Wire Line
6200 8800 6100 8450
Connection ~ 2000 8800
Wire Wire Line
6000 8450 6100 8700
Wire Wire Line
6100 8700 1900 8700
Connection ~ 1900 8700
Wire Wire Line
2100 9100 6300 9100
Wire Wire Line
6300 9100 6200 8450
Wire Wire Line
5900 8450 6000 8600
Wire Wire Line
6000 8600 2100 8600
Wire Wire Line
2100 8600 2100 5400
Wire Wire Line
2100 5400 6200 5400
Wire Wire Line
6200 5400 6200 4400
Wire Wire Line
5900 4400 5900 5100
Wire Wire Line
5900 5100 1800 5100
Wire Wire Line
1800 5100 1800 9100
Wire Wire Line
10100 4400 10100 4450
Wire Wire Line
10100 4450 10850 4450
Wire Wire Line
10850 4550 10000 4550
Wire Wire Line
10000 4550 10000 4400
Wire Wire Line
9900 4400 9900 4650
Wire Wire Line
9900 4650 10850 4650
Wire Wire Line
10850 4750 9800 4750
Wire Wire Line
9800 4750 9800 4400
Wire Wire Line
9700 4400 9700 4850
Wire Wire Line
9700 4850 10850 4850
Wire Wire Line
10850 4950 9600 4950
Wire Wire Line
9600 4950 9600 4400
Wire Wire Line
9500 4400 9500 5050
Wire Wire Line
9500 5050 10850 5050
Wire Wire Line
10850 5150 9400 5150
Wire Wire Line
9400 5150 9400 4400
Wire Wire Line
10850 5950 10100 5950
Wire Wire Line
10100 5950 10100 6050
Wire Wire Line
10000 6050 10000 5850
Wire Wire Line
10000 5850 10850 5850
Wire Wire Line
10850 5750 9900 5750
Wire Wire Line
9900 5750 9900 6050
Wire Wire Line
9800 6050 9800 5650
Wire Wire Line
9800 5650 10850 5650
Wire Wire Line
10850 5550 9700 5550
Wire Wire Line
9700 5550 9700 6050
Wire Wire Line
9600 6050 9600 5450
Wire Wire Line
9600 5450 10850 5450
Wire Wire Line
10850 5350 9500 5350
Wire Wire Line
9500 5350 9500 6050
Wire Wire Line
9400 6050 9400 5250
Wire Wire Line
9400 5250 10850 5250
Wire Wire Line
4150 2300 5400 2300
Wire Wire Line
5400 2300 5400 2800
Wire Wire Line
4850 2300 4850 6850
Wire Wire Line
4850 6850 5400 6850
Connection ~ 4850 2300
Wire Wire Line
4150 2400 4150 3100
Wire Wire Line
4150 3100 2900 3100
Wire Wire Line
2900 3100 2900 1350
Wire Wire Line
2900 1350 11800 1350
Wire Wire Line
11800 1350 11800 6850
Wire Wire Line
11800 2800 11200 2800
Wire Wire Line
11800 6850 11200 6850
Connection ~ 11800 2800
Wire Wire Line
4550 2300 4550 2150
Connection ~ 4550 2300
Wire Wire Line
4450 2950 4150 2950
Connection ~ 4150 2950
Text Label 8000 6050 0 60 ~ 0
42
Text Label 7950 5350 0 60 ~ 0
42
Text Label 7850 5350 0 60 ~ 0
41
Text Label 7900 6050 0 60 ~ 0
41
Text Label 6450 5350 0 60 ~ 0
20
Text Label 6500 6050 0 60 ~ 0
20
$EndSCHEMATC

3
boards/alu/fp-lib-table Normal file
View File

@ -0,0 +1,3 @@
(fp_lib_table
(lib (name footprints)(type KiCad)(uri /home/lena/hwlab/kicad-libs/footprints)(options "")(descr ""))
)

@ -0,0 +1 @@
Subproject commit bb856328eef2676d859dfa179a6c078922a42274

7721
boards/alu/xilinx.lib Normal file

File diff suppressed because it is too large Load Diff