1
0
rocket-chip/project
Palmer Dabbelt 476db6ef39 Move to a newer Scala version
Chisel3 needs a newer version of Scala to run correctly.
2016-03-24 12:00:13 -07:00
..
.gitignore Canonicalized sbt, updated makefiles, cleaned up submodules, minor bugfixes 2013-08-19 19:54:41 -07:00
build.properties Upgrade sbt to 0.13.8, simplify build.scala Tasks, generate tests from TestGenerator App, set addons with env variable ROCKETCHIP_ADDONS 2015-07-22 11:49:10 -07:00
build.scala Move to a newer Scala version 2016-03-24 12:00:13 -07:00
plugins.sbt Update to latest chisel 2015-09-20 13:37:53 -07:00