1
0
Fork 0

add chisel and hardfloat back as sub-projects, bump other sub-projects

This commit is contained in:
Henry Cook 2013-09-26 12:01:46 -07:00
parent f6d7e22c46
commit fc9c676fc1
7 changed files with 24 additions and 14 deletions

6
.gitmodules vendored
View File

@ -10,3 +10,9 @@
[submodule "rocket"]
path = rocket
url = git@github.com:ucb-bar/riscv-rocket.git
[submodule "chisel"]
path = chisel
url = git@github.com:ucb-bar/chisel.git
[submodule "hardfloat"]
path = hardfloat
url = git@github.com:ucb-bar/hardfloat.git

1
chisel Submodule

@ -0,0 +1 @@
Subproject commit a7b26467b7cf395563dcd9a593731ab17d95450d

1
hardfloat Submodule

@ -0,0 +1 @@
Subproject commit 904573db955172685a8663c2d9d41c8edbb7d55e

View File

@ -1 +1 @@
sbt.version=0.13.0-RC2
sbt.version=0.13.0

View File

@ -15,20 +15,22 @@ object BuildSettings extends Build {
scalaVersion := buildScalaVersion,
traceLevel := 15,
scalacOptions ++= Seq("-deprecation","-unchecked"),
libraryDependencies ++= Seq("org.scala-lang" % "scala-reflect" % scalaVersion.value,
"edu.berkeley.cs" %% "chisel" % "2.1-SNAPSHOT",
"edu.berkeley.cs" %% "hardfloat" % "1.2"),
resolvers ++= Seq(
"Sonatype Snapshots" at "http://oss.sonatype.org/content/repositories/snapshots",
"Sonatype Releases" at "http://oss.sonatype.org/content/repositories/releases",
"scct-github-repository" at "http://mtkopone.github.com/scct/maven-repo"
)
libraryDependencies ++= Seq("org.scala-lang" % "scala-reflect" % scalaVersion.value)
// "edu.berkeley.cs" %% "chisel" % "2.3-SNAPSHOT",
// "edu.berkeley.cs" %% "hardfloat" % "1.2"),
//resolvers ++= Seq(
// "Sonatype Snapshots" at "http://oss.sonatype.org/content/repositories/snapshots",
// "Sonatype Releases" at "http://oss.sonatype.org/content/repositories/releases",
// "scct-github-repository" at "http://mtkopone.github.com/scct/maven-repo"
//)
)
lazy val hwacha = Project("hwacha", file("hwacha"), settings = buildSettings)
lazy val uncore = Project("uncore", file("uncore"), settings = buildSettings)
lazy val rocket = Project("rocket", file("rocket"), settings = buildSettings) dependsOn(uncore,hwacha)
lazy val referencechip = Project("referencechip", file("."), settings = buildSettings ++ chipSettings) dependsOn(rocket)
lazy val chisel = Project("chisel", file("chisel"), settings = buildSettings)
lazy val hardfloat = Project("hardfloat", file("hardfloat"), settings = buildSettings) dependsOn(chisel)
lazy val hwacha = Project("hwacha", file("hwacha"), settings = buildSettings) dependsOn(hardfloat)
lazy val uncore = Project("uncore", file("uncore"), settings = buildSettings) dependsOn(chisel)
lazy val rocket = Project("rocket", file("rocket"), settings = buildSettings) dependsOn(uncore,hwacha)
val elaborateTask = InputKey[Unit]("elaborate", "convert chisel components into backend source code")
val makeTask = InputKey[Unit]("make", "trigger backend-specific makefile command")

2
rocket

@ -1 +1 @@
Subproject commit 9c7b8454f8f8f66df08eb587a025319b185490a0
Subproject commit d8a1ad40d8107b8c096b17ae8a04a29bf6e1b8d3

2
uncore

@ -1 +1 @@
Subproject commit e3fe5f9d8d6545c972de4317569a55ffb06ab5c9
Subproject commit 60ce1070d6b75a0e3134e545aeadc0565b4a905e