1
0
Fork 0

Merge pull request #1128 from freechipsproject/chisel_527_fixed

debug: Remove workaround for Chisel 3 #527
This commit is contained in:
Megan Wachs 2017-11-27 16:53:02 -08:00 committed by GitHub
commit 9d489c6dcd
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23
1 changed files with 1 additions and 5 deletions

View File

@ -521,14 +521,10 @@ class TLDebugModuleInner(device: Device, getNComponents: () => Int)(implicit p:
DMSTATUSRdData.authenticated := true.B // Not implemented
DMSTATUSRdData.version := 2.U // Version 0.13
// Chisel3 Issue #527 , have to do intermediate assignment.
val unavailVec = Wire(init = Vec.fill(nComponents){false.B})
unavailVec := io.debugUnavail
when (selectedHartReg >= nComponents.U) {
DMSTATUSRdData.allnonexistent := true.B
DMSTATUSRdData.anynonexistent := true.B
}.elsewhen (unavailVec(selectedHartReg)) {
}.elsewhen (io.debugUnavail(selectedHartReg)) {
DMSTATUSRdData.allunavail := true.B
DMSTATUSRdData.anyunavail := true.B
}.elsewhen (haltedBitRegs(selectedHartReg)) {