1
0
Fork 0

async_reg: Clean up some funky indentation

This commit is contained in:
Megan Wachs 2017-08-21 16:06:36 -07:00
parent 4f45379863
commit 81890e3a42
1 changed files with 5 additions and 6 deletions

View File

@ -49,18 +49,18 @@ module AsyncResetReg (
integer initvar;
reg [31:0] _RAND;
initial begin
`ifndef verilator
`ifndef verilator
#0.002 begin end
`endif
`ifdef RANDOMIZE_REG_INIT
`endif
`ifdef RANDOMIZE_REG_INIT
_RAND = {1{$random}};
q = _RAND[0];
`endif
`endif
end
`endif // `ifdef RANDOMIZE
always @(posedge clk or posedge rst) begin
if (rst) begin
q <= 1'b0;
end else if (en) begin
@ -68,6 +68,5 @@ module AsyncResetReg (
end
end
endmodule // AsyncResetReg