1
0
Fork 0

Print out seed if we can (#412)

Now that we have ifdef VCS in here lets use it for something more than compatibility
This commit is contained in:
Colin Schmidt 2016-10-24 12:36:29 -07:00 committed by Andrew Waterman
parent bc01f85164
commit 737cf82478
1 changed files with 4 additions and 0 deletions

View File

@ -34,7 +34,11 @@ module TestDriver;
rand_value = $urandom;
rand_value = $random(rand_value);
if (verbose) begin
`ifdef VCS
$fdisplay(stderr, "testing $random %0x seed %d", rand_value, unsigned'($get_initial_random_seed));
`else
$fdisplay(stderr, "testing $random %0x", rand_value);
`endif
end
`ifdef DEBUG