1
0
Fork 0

bump chisel and rocket

This commit is contained in:
Andrew Waterman 2016-06-06 21:24:55 -07:00
parent 5495705acf
commit 2c17f828b6
3 changed files with 3 additions and 6 deletions

@ -1 +1 @@
Subproject commit 0b6f6b67f9b511468936ca30218343283071a69d
Subproject commit dc2175b0ebafbbb4b67bcb1e9b92b639b02b36bb

View File

@ -15,10 +15,7 @@ object BuildSettings extends Build {
libraryDependencies ++= Seq("org.scala-lang" % "scala-reflect" % scalaVersion.value)
)
lazy val chiselMacros = project in file("chisel3/chiselMacros")
lazy val chiselFrontend = project in file("chisel3/chiselFrontend")
lazy val chisel = project in file("chisel" + sys.env.getOrElse("CHISEL_VERSION", 2)) dependsOn(chiselMacros, chiselFrontend)
lazy val chisel = project in file("chisel" + sys.env.getOrElse("CHISEL_VERSION", 2))
lazy val cde = project in file("context-dependent-environments")
lazy val hardfloat = project.dependsOn(chisel)
lazy val junctions = project.dependsOn(chisel, cde)

2
rocket

@ -1 +1 @@
Subproject commit b42ff4233d0d3800c7e5c87de62ed97f0c074336
Subproject commit 40e8179ba5ceac3bbce8ec11429a101ceaed61ae