1
0

Remove extraneous signal

This commit is contained in:
Andrew Waterman 2017-10-09 18:33:50 -07:00
parent f3825270c1
commit 1474ab438d

View File

@ -28,7 +28,6 @@ class SFenceReq(implicit p: Parameters) extends CoreBundle()(p) {
class TLBReq(lgMaxSize: Int)(implicit p: Parameters) extends CoreBundle()(p) { class TLBReq(lgMaxSize: Int)(implicit p: Parameters) extends CoreBundle()(p) {
val vaddr = UInt(width = vaddrBitsExtended) val vaddr = UInt(width = vaddrBitsExtended)
val passthrough = Bool() val passthrough = Bool()
val instruction = Bool()
val sfence = Valid(new SFenceReq) val sfence = Valid(new SFenceReq)
val size = UInt(width = log2Ceil(lgMaxSize + 1)) val size = UInt(width = log2Ceil(lgMaxSize + 1))
val cmd = Bits(width = M_SZ) val cmd = Bits(width = M_SZ)