1
0
Fork 0
rocket-chip/src/main/scala/unittest/TestHarness.scala

12 lines
260 B
Scala
Raw Normal View History

// See LICENSE for license details.
package unittest
import Chisel._
import config._
class TestHarness(implicit val p: Parameters) extends Module {
val io = new Bundle { val success = Bool(OUTPUT) }
io.success := Module(new UnitTestSuite).io.finished
}