1
0
Fork 0
rocket-chip/src/main/scala/unittest/TestHarness.scala

11 lines
248 B
Scala
Raw Normal View History

// See LICENSE for license details.
package unittest
import Chisel._
class TestHarness(implicit val p: cde.Parameters) extends Module {
val io = new Bundle { val success = Bool(OUTPUT) }
io.success := Module(new UnitTestSuite).io.finished
}