1
0
fpga-shells/xilinx/vc707/constraints
2017-09-07 09:54:35 -07:00
..
vc707-master.xdc synchronizers: decided that ShiftRegInit should be reversed as the others. 2017-09-07 09:54:35 -07:00