1
0
fpga-shells/xilinx/common/tcl/opt.tcl
2017-08-16 11:23:45 -07:00

8 lines
175 B
Tcl

# See LICENSE for license details.
# Optimize the netlist
opt_design -directive Explore
# Checkpoint the current design
write_checkpoint -force [file join $wrkdir post_opt]