1
0
Fork 0
fpga-shells/xilinx/common/vsrc/PowerOnResetFPGAOnly.v

16 lines
248 B
Coq
Raw Normal View History

2017-08-16 20:23:45 +02:00
// See LICENSE file for license details.
module PowerOnResetFPGAOnly(
input clock,
output reg power_on_reset
);
initial begin
power_on_reset <= 1'b1;
end
always @(posedge clock) begin
power_on_reset <= 1'b0;
end
endmodule