1
0
Fork 0
fpga-shells/xilinx/arty/constraints/arty-config.xdc

6 lines
125 B
Plaintext
Raw Normal View History

2017-08-16 20:23:45 +02:00
set_property -dict [list \
CONFIG_VOLTAGE {3.3} \
CFGBVS {VCCO} \
BITSTREAM.CONFIG.SPI_BUSWIDTH {4} \
] [current_design]