computer/firmware/alu/CPLD2.ucf

34 lines
926 B
Plaintext

NET "func(0)" LOC = "44";
NET "func(1)" LOC = "43";
NET "func(2)" LOC = "42";
NET "func(3)" LOC = "41";
NET "accu(8)" LOC = "27";
NET "accu(9)" LOC = "28";
NET "accu(10)" LOC = "29";
NET "accu(11)" LOC = "30";
NET "accu(12)" LOC = "31";
NET "accu(13)" LOC = "32";
NET "accu(14)" LOC = "37";
NET "accu(15)" LOC = "38";
NET "ram(8)" LOC = "39";
NET "ram(9)" LOC = "40";
NET "ram(10)" LOC = "2";
NET "ram(11)" LOC = "3";
NET "ram(12)" LOC = "5";
NET "ram(13)" LOC = "6";
NET "ram(14)" LOC = "7";
NET "ram(15)" LOC = "8";
NET "result(8)" LOC = "21";
NET "result(9)" LOC = "20";
NET "result(10)" LOC = "19";
NET "result(11)" LOC = "18";
NET "result(12)" LOC = "16";
NET "result(13)" LOC = "14";
NET "result(14)" LOC = "13";
NET "result(15)" LOC = "12";
NET "carry_in" LOC = "33";