computer/firmware/alu/CPLD1.ucf

34 lines
925 B
Plaintext

NET "func(0)" LOC = "43";
NET "func(1)" LOC = "44";
NET "func(2)" LOC = "1";
NET "func(3)" LOC = "2";
NET "accu(0)" LOC = "27";
NET "accu(1)" LOC = "28";
NET "accu(2)" LOC = "29";
NET "accu(3)" LOC = "30";
NET "accu(4)" LOC = "31";
NET "accu(5)" LOC = "32";
NET "accu(6)" LOC = "37";
NET "accu(7)" LOC = "38";
NET "ram(0)" LOC = "39";
NET "ram(1)" LOC = "40";
NET "ram(2)" LOC = "41";
NET "ram(3)" LOC = "42";
NET "ram(4)" LOC = "5";
NET "ram(5)" LOC = "6";
NET "ram(6)" LOC = "7";
NET "ram(7)" LOC = "8";
NET "result(0)" LOC = "22";
NET "result(1)" LOC = "21";
NET "result(2)" LOC = "20";
NET "result(3)" LOC = "19";
NET "result(4)" LOC = "18";
NET "result(5)" LOC = "16";
NET "result(6)" LOC = "14";
NET "result(7)" LOC = "13";
NET "carry_out" LOC = "3";