diff --git a/main.vhd b/main.vhd index e8fd82a..61aaeaf 100644 --- a/main.vhd +++ b/main.vhd @@ -45,9 +45,7 @@ begin CLKFX_OUT => clk_vga ); - terminal: entity work.terminal generic map ( - clk_f => clk_vga_f - ) port map ( + terminal: entity work.terminal port map ( clk => clk_vga, reset => reset, diff --git a/terminal.vhd b/terminal.vhd index ad1bde9..ffc8bba 100644 --- a/terminal.vhd +++ b/terminal.vhd @@ -9,7 +9,7 @@ use unisim.VComponents.all; entity terminal is generic ( - clk_f: integer + clk_f: integer := 48_000_000 ); port ( clk: in std_logic;