1
0
rocket-chip/vsrc/plusarg_reader.v
Wesley W. Terpstra 7f1d3c445f Plusargs -- tilelink timeout detection from the command line (#752)
* util: PlusArg gives Chisel access to the command-line

* tilelink2: add a progress watchdog to Monitors
2017-05-18 22:49:59 -07:00

23 lines
581 B
Verilog

// See LICENSE.SiFive for license details.
// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),
// but Incisive demands them. These default values should never be used.
module plusarg_reader #(string FORMAT="borked", int DEFAULT=0) (
output [31:0] out
);
reg [31:0] myplus;
assign out = myplus;
initial begin
myplus = DEFAULT;
`ifndef SYNTHESIS
`ifndef verilator
// Work-around for https://www.veripool.org/issues/1165
if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;
`endif
`endif
end
endmodule