diff --git a/vsrc/TestDriver.v b/vsrc/TestDriver.v index d448e849..6e6ca404 100644 --- a/vsrc/TestDriver.v +++ b/vsrc/TestDriver.v @@ -67,7 +67,15 @@ module TestDriver; `define VCDPLUSCLOSE $dumpoff; `endif `else + // No +define+DEBUG `define VCDPLUSCLOSE + + if ($test$plusargs("vcdplusfile=") || $test$plusargs("vcdfile=")) + begin + $fdisplay(stderr, "Error: +vcdfile or +vcdplusfile requested but compile did not have +define+DEBUG enabled"); + $fatal; + end + `endif end