diff --git a/csrc/SimDTM.cc b/csrc/SimDTM.cc index 82e676ef..2948da4e 100644 --- a/csrc/SimDTM.cc +++ b/csrc/SimDTM.cc @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. #include #include diff --git a/csrc/comlog.cc b/csrc/comlog.cc index d5f33b5f..8ef0370f 100644 --- a/csrc/comlog.cc +++ b/csrc/comlog.cc @@ -1,3 +1,5 @@ +// See LICENSE.Berkeley for license details. + //***************************************************** // Christopher Celio // 2015 Feb 2 diff --git a/csrc/emulator.cc b/csrc/emulator.cc index 2ac5d3d8..c1837188 100644 --- a/csrc/emulator.cc +++ b/csrc/emulator.cc @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. #include "verilated.h" #if VM_TRACE diff --git a/csrc/float_fix.cc b/csrc/float_fix.cc index 1a80f916..6279c733 100644 --- a/csrc/float_fix.cc +++ b/csrc/float_fix.cc @@ -1,3 +1,5 @@ +// See LICENSE.Berkeley for license details. + #include #include #include diff --git a/project/build.scala b/project/build.scala index 80047920..be8d8332 100644 --- a/project/build.scala +++ b/project/build.scala @@ -1,3 +1,5 @@ +// See LICENSE.Berkeley for license details. + import sbt._ import Keys._ import complete._ diff --git a/src/main/scala/coreplex/BaseCoreplex.scala b/src/main/scala/coreplex/BaseCoreplex.scala index 6b43acec..7896aaff 100644 --- a/src/main/scala/coreplex/BaseCoreplex.scala +++ b/src/main/scala/coreplex/BaseCoreplex.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + package coreplex import Chisel._ diff --git a/src/main/scala/coreplex/Configs.scala b/src/main/scala/coreplex/Configs.scala index ff6e8946..475ad5b1 100644 --- a/src/main/scala/coreplex/Configs.scala +++ b/src/main/scala/coreplex/Configs.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. package coreplex diff --git a/src/main/scala/coreplex/Coreplex.scala b/src/main/scala/coreplex/Coreplex.scala index ec2e4673..5e547473 100644 --- a/src/main/scala/coreplex/Coreplex.scala +++ b/src/main/scala/coreplex/Coreplex.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + package coreplex import Chisel._ diff --git a/src/main/scala/coreplex/RISCVPlatform.scala b/src/main/scala/coreplex/RISCVPlatform.scala index 374ef4ee..fa5ad967 100644 --- a/src/main/scala/coreplex/RISCVPlatform.scala +++ b/src/main/scala/coreplex/RISCVPlatform.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + package coreplex import Chisel._ diff --git a/src/main/scala/coreplex/RocketTiles.scala b/src/main/scala/coreplex/RocketTiles.scala index def17d2a..e0d5146d 100644 --- a/src/main/scala/coreplex/RocketTiles.scala +++ b/src/main/scala/coreplex/RocketTiles.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + package coreplex import Chisel._ diff --git a/src/main/scala/diplomacy/AddressDecoder.scala b/src/main/scala/diplomacy/AddressDecoder.scala index 461de161..57902946 100644 --- a/src/main/scala/diplomacy/AddressDecoder.scala +++ b/src/main/scala/diplomacy/AddressDecoder.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package diplomacy diff --git a/src/main/scala/diplomacy/LazyModule.scala b/src/main/scala/diplomacy/LazyModule.scala index 5de4877c..3b7de281 100644 --- a/src/main/scala/diplomacy/LazyModule.scala +++ b/src/main/scala/diplomacy/LazyModule.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package diplomacy diff --git a/src/main/scala/diplomacy/Nodes.scala b/src/main/scala/diplomacy/Nodes.scala index 83e0c80a..bf001526 100644 --- a/src/main/scala/diplomacy/Nodes.scala +++ b/src/main/scala/diplomacy/Nodes.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package diplomacy diff --git a/src/main/scala/diplomacy/Parameters.scala b/src/main/scala/diplomacy/Parameters.scala index a262966a..d81ce4b0 100644 --- a/src/main/scala/diplomacy/Parameters.scala +++ b/src/main/scala/diplomacy/Parameters.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package diplomacy diff --git a/src/main/scala/diplomacy/package.scala b/src/main/scala/diplomacy/package.scala index f3a6397f..12fd0bfd 100644 --- a/src/main/scala/diplomacy/package.scala +++ b/src/main/scala/diplomacy/package.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + import Chisel._ import chisel3.internal.sourceinfo.{SourceInfo, SourceLine, UnlocatableSourceInfo} diff --git a/src/main/scala/groundtest/BusMasterTest.scala b/src/main/scala/groundtest/BusMasterTest.scala index 8a745fc7..998a9c32 100644 --- a/src/main/scala/groundtest/BusMasterTest.scala +++ b/src/main/scala/groundtest/BusMasterTest.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + package groundtest import Chisel._ diff --git a/src/main/scala/groundtest/CacheFillTest.scala b/src/main/scala/groundtest/CacheFillTest.scala index 446db059..17e248bd 100644 --- a/src/main/scala/groundtest/CacheFillTest.scala +++ b/src/main/scala/groundtest/CacheFillTest.scala @@ -1,3 +1,6 @@ +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. + package groundtest import Chisel._ diff --git a/src/main/scala/groundtest/Comparator.scala b/src/main/scala/groundtest/Comparator.scala index 7324c6e6..32c7d916 100644 --- a/src/main/scala/groundtest/Comparator.scala +++ b/src/main/scala/groundtest/Comparator.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + package groundtest import Chisel._ diff --git a/src/main/scala/groundtest/Configs.scala b/src/main/scala/groundtest/Configs.scala index b1301079..83e8e797 100644 --- a/src/main/scala/groundtest/Configs.scala +++ b/src/main/scala/groundtest/Configs.scala @@ -1,3 +1,6 @@ +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. + package groundtest import Chisel._ diff --git a/src/main/scala/groundtest/Coreplex.scala b/src/main/scala/groundtest/Coreplex.scala index 1abb2a32..e6a97b9f 100644 --- a/src/main/scala/groundtest/Coreplex.scala +++ b/src/main/scala/groundtest/Coreplex.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + package groundtest import Chisel._ diff --git a/src/main/scala/groundtest/Generator.scala b/src/main/scala/groundtest/Generator.scala index 62a1f38c..7c354867 100644 --- a/src/main/scala/groundtest/Generator.scala +++ b/src/main/scala/groundtest/Generator.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package groundtest diff --git a/src/main/scala/groundtest/Package.scala b/src/main/scala/groundtest/Package.scala index e91d9fd1..a5c79e12 100644 --- a/src/main/scala/groundtest/Package.scala +++ b/src/main/scala/groundtest/Package.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + package object groundtest { val testRamAddr = 0x10000 } diff --git a/src/main/scala/groundtest/Regression.scala b/src/main/scala/groundtest/Regression.scala index 3d89ad7b..593d58a5 100644 --- a/src/main/scala/groundtest/Regression.scala +++ b/src/main/scala/groundtest/Regression.scala @@ -1,3 +1,6 @@ +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. + package groundtest import Chisel._ diff --git a/src/main/scala/groundtest/TestHarness.scala b/src/main/scala/groundtest/TestHarness.scala index 6cf8cb22..906c973a 100644 --- a/src/main/scala/groundtest/TestHarness.scala +++ b/src/main/scala/groundtest/TestHarness.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + package groundtest import Chisel._ diff --git a/src/main/scala/groundtest/Tile.scala b/src/main/scala/groundtest/Tile.scala index 255f531d..24676fe5 100644 --- a/src/main/scala/groundtest/Tile.scala +++ b/src/main/scala/groundtest/Tile.scala @@ -1,3 +1,6 @@ +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. + package groundtest import Chisel._ diff --git a/src/main/scala/groundtest/Top.scala b/src/main/scala/groundtest/Top.scala index 088d16f1..bbd6cd19 100644 --- a/src/main/scala/groundtest/Top.scala +++ b/src/main/scala/groundtest/Top.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + package groundtest import Chisel._ diff --git a/src/main/scala/groundtest/TraceGen.scala b/src/main/scala/groundtest/TraceGen.scala index 1280a7db..193558cf 100644 --- a/src/main/scala/groundtest/TraceGen.scala +++ b/src/main/scala/groundtest/TraceGen.scala @@ -1,3 +1,6 @@ +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. + // This file was originally written by Matthew Naylor, University of // Cambridge, based on code already present in the groundtest repo. // diff --git a/src/main/scala/groundtest/TrafficGenerator.scala b/src/main/scala/groundtest/TrafficGenerator.scala index fd1f7194..d4185b46 100644 --- a/src/main/scala/groundtest/TrafficGenerator.scala +++ b/src/main/scala/groundtest/TrafficGenerator.scala @@ -1,3 +1,6 @@ +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. + package groundtest import Chisel._ diff --git a/src/main/scala/groundtest/Util.scala b/src/main/scala/groundtest/Util.scala index 836ef7d1..60220d61 100644 --- a/src/main/scala/groundtest/Util.scala +++ b/src/main/scala/groundtest/Util.scala @@ -1,3 +1,6 @@ +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. + package groundtest import Chisel._ diff --git a/src/main/scala/junctions/MultiWidthFifo.scala b/src/main/scala/junctions/MultiWidthFifo.scala index 80739cf9..30d65770 100644 --- a/src/main/scala/junctions/MultiWidthFifo.scala +++ b/src/main/scala/junctions/MultiWidthFifo.scala @@ -1,3 +1,5 @@ +// See LICENSE.Berkeley for license details. + package junctions import Chisel._ diff --git a/src/main/scala/junctions/NastiDriver.scala b/src/main/scala/junctions/NastiDriver.scala index f7de9da4..236f0114 100644 --- a/src/main/scala/junctions/NastiDriver.scala +++ b/src/main/scala/junctions/NastiDriver.scala @@ -1,3 +1,6 @@ +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. + package junctions import Chisel._ diff --git a/src/main/scala/junctions/addrmap.scala b/src/main/scala/junctions/addrmap.scala index 787f9ab1..1e8952fd 100644 --- a/src/main/scala/junctions/addrmap.scala +++ b/src/main/scala/junctions/addrmap.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. package junctions diff --git a/src/main/scala/junctions/hasti.scala b/src/main/scala/junctions/hasti.scala index d9c08d43..e04d13a0 100644 --- a/src/main/scala/junctions/hasti.scala +++ b/src/main/scala/junctions/hasti.scala @@ -1,3 +1,6 @@ +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. + package junctions import Chisel._ diff --git a/src/main/scala/junctions/jtag.scala b/src/main/scala/junctions/jtag.scala index 485ab4da..1b878488 100644 --- a/src/main/scala/junctions/jtag.scala +++ b/src/main/scala/junctions/jtag.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + package junctions import Chisel._ import config._ diff --git a/src/main/scala/junctions/nasti.scala b/src/main/scala/junctions/nasti.scala index e8b92808..616231fa 100644 --- a/src/main/scala/junctions/nasti.scala +++ b/src/main/scala/junctions/nasti.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. package junctions import Chisel._ diff --git a/src/main/scala/junctions/package.scala b/src/main/scala/junctions/package.scala index 214a91e5..1c5762e9 100644 --- a/src/main/scala/junctions/package.scala +++ b/src/main/scala/junctions/package.scala @@ -1 +1,3 @@ +// See LICENSE.SiFive for license details. + package object junctions diff --git a/src/main/scala/junctions/poci.scala b/src/main/scala/junctions/poci.scala index 7fd40205..f566e4dd 100644 --- a/src/main/scala/junctions/poci.scala +++ b/src/main/scala/junctions/poci.scala @@ -1,3 +1,6 @@ +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. + package junctions import Chisel._ diff --git a/src/main/scala/junctions/slowio.scala b/src/main/scala/junctions/slowio.scala index 6396dda5..04c98a05 100644 --- a/src/main/scala/junctions/slowio.scala +++ b/src/main/scala/junctions/slowio.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. package junctions import Chisel._ diff --git a/src/main/scala/junctions/stream.scala b/src/main/scala/junctions/stream.scala index c71dfd8d..940b4217 100644 --- a/src/main/scala/junctions/stream.scala +++ b/src/main/scala/junctions/stream.scala @@ -1,3 +1,5 @@ +// See LICENSE.Berkeley for license details. + package junctions import Chisel._ diff --git a/src/main/scala/regmapper/RegField.scala b/src/main/scala/regmapper/RegField.scala index ba17d6ae..597e6b18 100644 --- a/src/main/scala/regmapper/RegField.scala +++ b/src/main/scala/regmapper/RegField.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package regmapper diff --git a/src/main/scala/regmapper/RegMapper.scala b/src/main/scala/regmapper/RegMapper.scala index 57bf7f82..fa6ec54a 100644 --- a/src/main/scala/regmapper/RegMapper.scala +++ b/src/main/scala/regmapper/RegMapper.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package regmapper diff --git a/src/main/scala/regmapper/RegisterCrossing.scala b/src/main/scala/regmapper/RegisterCrossing.scala index fefedb8f..5a59c4e4 100644 --- a/src/main/scala/regmapper/RegisterCrossing.scala +++ b/src/main/scala/regmapper/RegisterCrossing.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package regmapper diff --git a/src/main/scala/rocket/Dcache.scala b/src/main/scala/rocket/Dcache.scala index 635191df..60c7ea0e 100644 --- a/src/main/scala/rocket/Dcache.scala +++ b/src/main/scala/rocket/Dcache.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package rocket diff --git a/src/main/scala/rocket/HellaCache.scala b/src/main/scala/rocket/HellaCache.scala index 90d10b57..d43d5e12 100644 --- a/src/main/scala/rocket/HellaCache.scala +++ b/src/main/scala/rocket/HellaCache.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. package rocket diff --git a/src/main/scala/rocket/NBDcache.scala b/src/main/scala/rocket/NBDcache.scala index 5a63f81c..3b00c473 100644 --- a/src/main/scala/rocket/NBDcache.scala +++ b/src/main/scala/rocket/NBDcache.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. package rocket diff --git a/src/main/scala/rocket/ScratchpadSlavePort.scala b/src/main/scala/rocket/ScratchpadSlavePort.scala index ab7d25c6..3c0bd600 100644 --- a/src/main/scala/rocket/ScratchpadSlavePort.scala +++ b/src/main/scala/rocket/ScratchpadSlavePort.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package rocket diff --git a/src/main/scala/rocket/SimpleHellaCacheIF.scala b/src/main/scala/rocket/SimpleHellaCacheIF.scala index 0d8b484c..e6d85237 100644 --- a/src/main/scala/rocket/SimpleHellaCacheIF.scala +++ b/src/main/scala/rocket/SimpleHellaCacheIF.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. package rocket diff --git a/src/main/scala/rocket/arbiter.scala b/src/main/scala/rocket/arbiter.scala index 6a481287..747130df 100644 --- a/src/main/scala/rocket/arbiter.scala +++ b/src/main/scala/rocket/arbiter.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. package rocket diff --git a/src/main/scala/rocket/breakpoint.scala b/src/main/scala/rocket/breakpoint.scala index e91db306..d1647d76 100644 --- a/src/main/scala/rocket/breakpoint.scala +++ b/src/main/scala/rocket/breakpoint.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package rocket diff --git a/src/main/scala/rocket/btb.scala b/src/main/scala/rocket/btb.scala index 5217478a..cbeb1cee 100644 --- a/src/main/scala/rocket/btb.scala +++ b/src/main/scala/rocket/btb.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. package rocket diff --git a/src/main/scala/rocket/consts.scala b/src/main/scala/rocket/consts.scala index bff13126..9bd903ef 100644 --- a/src/main/scala/rocket/consts.scala +++ b/src/main/scala/rocket/consts.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. package rocket package constants diff --git a/src/main/scala/rocket/csr.scala b/src/main/scala/rocket/csr.scala index 82f59310..f249cf6f 100644 --- a/src/main/scala/rocket/csr.scala +++ b/src/main/scala/rocket/csr.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. package rocket diff --git a/src/main/scala/rocket/decode.scala b/src/main/scala/rocket/decode.scala index d5e8386e..feac4aad 100644 --- a/src/main/scala/rocket/decode.scala +++ b/src/main/scala/rocket/decode.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. package rocket diff --git a/src/main/scala/rocket/dpath_alu.scala b/src/main/scala/rocket/dpath_alu.scala index 90554656..7657f4b0 100644 --- a/src/main/scala/rocket/dpath_alu.scala +++ b/src/main/scala/rocket/dpath_alu.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. package rocket diff --git a/src/main/scala/rocket/fpu.scala b/src/main/scala/rocket/fpu.scala index 691354d5..a29f12d3 100644 --- a/src/main/scala/rocket/fpu.scala +++ b/src/main/scala/rocket/fpu.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. package rocket diff --git a/src/main/scala/rocket/frontend.scala b/src/main/scala/rocket/frontend.scala index 8ffd43ed..3109aa7d 100644 --- a/src/main/scala/rocket/frontend.scala +++ b/src/main/scala/rocket/frontend.scala @@ -1,3 +1,6 @@ +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. + package rocket import Chisel._ diff --git a/src/main/scala/rocket/ibuf.scala b/src/main/scala/rocket/ibuf.scala index 3f076008..25dc45e1 100644 --- a/src/main/scala/rocket/ibuf.scala +++ b/src/main/scala/rocket/ibuf.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package rocket diff --git a/src/main/scala/rocket/icache.scala b/src/main/scala/rocket/icache.scala index 028d6fef..5cd4249e 100644 --- a/src/main/scala/rocket/icache.scala +++ b/src/main/scala/rocket/icache.scala @@ -1,3 +1,6 @@ +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. + package rocket import Chisel._ diff --git a/src/main/scala/rocket/idecode.scala b/src/main/scala/rocket/idecode.scala index 018e723c..a783fbcc 100644 --- a/src/main/scala/rocket/idecode.scala +++ b/src/main/scala/rocket/idecode.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. package rocket diff --git a/src/main/scala/rocket/instructions.scala b/src/main/scala/rocket/instructions.scala index 067d4e1a..228724f3 100644 --- a/src/main/scala/rocket/instructions.scala +++ b/src/main/scala/rocket/instructions.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. package rocket diff --git a/src/main/scala/rocket/multiplier.scala b/src/main/scala/rocket/multiplier.scala index f3b63b29..52cd83aa 100644 --- a/src/main/scala/rocket/multiplier.scala +++ b/src/main/scala/rocket/multiplier.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. package rocket diff --git a/src/main/scala/rocket/package.scala b/src/main/scala/rocket/package.scala index 610f402f..40bb8ebf 100644 --- a/src/main/scala/rocket/package.scala +++ b/src/main/scala/rocket/package.scala @@ -1,3 +1,3 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. package object rocket extends rocket.constants.ScalarOpConstants diff --git a/src/main/scala/rocket/ptw.scala b/src/main/scala/rocket/ptw.scala index 665a8a4e..f0659895 100644 --- a/src/main/scala/rocket/ptw.scala +++ b/src/main/scala/rocket/ptw.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. package rocket diff --git a/src/main/scala/rocket/rocc.scala b/src/main/scala/rocket/rocc.scala index 2d2bc4ef..15e32c6e 100644 --- a/src/main/scala/rocket/rocc.scala +++ b/src/main/scala/rocket/rocc.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. package rocket diff --git a/src/main/scala/rocket/rocket.scala b/src/main/scala/rocket/rocket.scala index e397fbed..48e3e4f1 100644 --- a/src/main/scala/rocket/rocket.scala +++ b/src/main/scala/rocket/rocket.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. package rocket diff --git a/src/main/scala/rocket/rvc.scala b/src/main/scala/rocket/rvc.scala index d7529f9d..c5e4966e 100644 --- a/src/main/scala/rocket/rvc.scala +++ b/src/main/scala/rocket/rvc.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + package rocket import Chisel._ diff --git a/src/main/scala/rocket/tile.scala b/src/main/scala/rocket/tile.scala index c0845d66..ca134251 100644 --- a/src/main/scala/rocket/tile.scala +++ b/src/main/scala/rocket/tile.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. package rocket diff --git a/src/main/scala/rocket/tlb.scala b/src/main/scala/rocket/tlb.scala index 7f1659dc..478cfc8c 100644 --- a/src/main/scala/rocket/tlb.scala +++ b/src/main/scala/rocket/tlb.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. package rocket diff --git a/src/main/scala/rocketchip/BaseTop.scala b/src/main/scala/rocketchip/BaseTop.scala index 5879d58e..0fff7244 100644 --- a/src/main/scala/rocketchip/BaseTop.scala +++ b/src/main/scala/rocketchip/BaseTop.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package rocketchip diff --git a/src/main/scala/rocketchip/Configs.scala b/src/main/scala/rocketchip/Configs.scala index b89e58e7..b3348311 100644 --- a/src/main/scala/rocketchip/Configs.scala +++ b/src/main/scala/rocketchip/Configs.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. package rocketchip diff --git a/src/main/scala/rocketchip/DebugTransport.scala b/src/main/scala/rocketchip/DebugTransport.scala index 596d6c52..5ab7ef30 100644 --- a/src/main/scala/rocketchip/DebugTransport.scala +++ b/src/main/scala/rocketchip/DebugTransport.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + package rocketchip import Chisel._ diff --git a/src/main/scala/rocketchip/ExampleTop.scala b/src/main/scala/rocketchip/ExampleTop.scala index 6a064104..b0c4d574 100644 --- a/src/main/scala/rocketchip/ExampleTop.scala +++ b/src/main/scala/rocketchip/ExampleTop.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package rocketchip diff --git a/src/main/scala/rocketchip/Generator.scala b/src/main/scala/rocketchip/Generator.scala index a1eb5356..7124950a 100644 --- a/src/main/scala/rocketchip/Generator.scala +++ b/src/main/scala/rocketchip/Generator.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package rocketchip diff --git a/src/main/scala/rocketchip/Periphery.scala b/src/main/scala/rocketchip/Periphery.scala index af859346..fa4db60c 100644 --- a/src/main/scala/rocketchip/Periphery.scala +++ b/src/main/scala/rocketchip/Periphery.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package rocketchip diff --git a/src/main/scala/rocketchip/RISCVPlatform.scala b/src/main/scala/rocketchip/RISCVPlatform.scala index 4b9cdb0e..35aae1fc 100644 --- a/src/main/scala/rocketchip/RISCVPlatform.scala +++ b/src/main/scala/rocketchip/RISCVPlatform.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package rocketchip diff --git a/src/main/scala/rocketchip/RocketPlexMaster.scala b/src/main/scala/rocketchip/RocketPlexMaster.scala index a00c39cb..05a4c331 100644 --- a/src/main/scala/rocketchip/RocketPlexMaster.scala +++ b/src/main/scala/rocketchip/RocketPlexMaster.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package rocketchip diff --git a/src/main/scala/rocketchip/RocketTestSuite.scala b/src/main/scala/rocketchip/RocketTestSuite.scala index cf8cfec4..d8c25172 100644 --- a/src/main/scala/rocketchip/RocketTestSuite.scala +++ b/src/main/scala/rocketchip/RocketTestSuite.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. package rocketchip diff --git a/src/main/scala/rocketchip/TestHarness.scala b/src/main/scala/rocketchip/TestHarness.scala index 727315e9..2bcb90de 100644 --- a/src/main/scala/rocketchip/TestHarness.scala +++ b/src/main/scala/rocketchip/TestHarness.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package rocketchip diff --git a/src/main/scala/rocketchip/Utils.scala b/src/main/scala/rocketchip/Utils.scala index d2287e38..f79cb974 100644 --- a/src/main/scala/rocketchip/Utils.scala +++ b/src/main/scala/rocketchip/Utils.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package rocketchip diff --git a/src/main/scala/uncore/Consts.scala b/src/main/scala/uncore/Consts.scala index a49d69a5..2db6fbdf 100644 --- a/src/main/scala/uncore/Consts.scala +++ b/src/main/scala/uncore/Consts.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. package uncore package constants diff --git a/src/main/scala/uncore/Package.scala b/src/main/scala/uncore/Package.scala index c9a35dbb..becd09df 100644 --- a/src/main/scala/uncore/Package.scala +++ b/src/main/scala/uncore/Package.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. + package uncore package object constants extends uncore.constants.MemoryOpConstants diff --git a/src/main/scala/uncore/agents/Agents.scala b/src/main/scala/uncore/agents/Agents.scala index 0c3725a7..b0e22dd7 100644 --- a/src/main/scala/uncore/agents/Agents.scala +++ b/src/main/scala/uncore/agents/Agents.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. package uncore.agents diff --git a/src/main/scala/uncore/agents/Broadcast.scala b/src/main/scala/uncore/agents/Broadcast.scala index f8ba7d17..599df317 100644 --- a/src/main/scala/uncore/agents/Broadcast.scala +++ b/src/main/scala/uncore/agents/Broadcast.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. package uncore.agents diff --git a/src/main/scala/uncore/agents/Bufferless.scala b/src/main/scala/uncore/agents/Bufferless.scala index 985508d1..a1c02318 100644 --- a/src/main/scala/uncore/agents/Bufferless.scala +++ b/src/main/scala/uncore/agents/Bufferless.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. package uncore.agents diff --git a/src/main/scala/uncore/agents/L2Cache.scala b/src/main/scala/uncore/agents/L2Cache.scala index c22a1faf..681f7a35 100644 --- a/src/main/scala/uncore/agents/L2Cache.scala +++ b/src/main/scala/uncore/agents/L2Cache.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. package uncore.agents diff --git a/src/main/scala/uncore/agents/Mmio.scala b/src/main/scala/uncore/agents/Mmio.scala index 39ee70cd..e4c9a047 100644 --- a/src/main/scala/uncore/agents/Mmio.scala +++ b/src/main/scala/uncore/agents/Mmio.scala @@ -1,3 +1,5 @@ +// See LICENSE.Berkeley for license details. + package uncore.agents import Chisel._ diff --git a/src/main/scala/uncore/agents/StatelessBridge.scala b/src/main/scala/uncore/agents/StatelessBridge.scala index 02edacf0..bc79b74d 100644 --- a/src/main/scala/uncore/agents/StatelessBridge.scala +++ b/src/main/scala/uncore/agents/StatelessBridge.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.agents diff --git a/src/main/scala/uncore/agents/StoreDataQueue.scala b/src/main/scala/uncore/agents/StoreDataQueue.scala index 71aee4ed..1a34b28c 100644 --- a/src/main/scala/uncore/agents/StoreDataQueue.scala +++ b/src/main/scala/uncore/agents/StoreDataQueue.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. package uncore.agents import Chisel._ diff --git a/src/main/scala/uncore/agents/Trackers.scala b/src/main/scala/uncore/agents/Trackers.scala index 7813a445..75b81263 100644 --- a/src/main/scala/uncore/agents/Trackers.scala +++ b/src/main/scala/uncore/agents/Trackers.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. package uncore.agents diff --git a/src/main/scala/uncore/axi4/Buffer.scala b/src/main/scala/uncore/axi4/Buffer.scala index 0b164ba9..1b2a7300 100644 --- a/src/main/scala/uncore/axi4/Buffer.scala +++ b/src/main/scala/uncore/axi4/Buffer.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.axi4 diff --git a/src/main/scala/uncore/axi4/Bundles.scala b/src/main/scala/uncore/axi4/Bundles.scala index 95068cab..f8c9ddc6 100644 --- a/src/main/scala/uncore/axi4/Bundles.scala +++ b/src/main/scala/uncore/axi4/Bundles.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.axi4 diff --git a/src/main/scala/uncore/axi4/Fragmenter.scala b/src/main/scala/uncore/axi4/Fragmenter.scala index e933270f..ce29325a 100644 --- a/src/main/scala/uncore/axi4/Fragmenter.scala +++ b/src/main/scala/uncore/axi4/Fragmenter.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.axi4 diff --git a/src/main/scala/uncore/axi4/Nodes.scala b/src/main/scala/uncore/axi4/Nodes.scala index 717b07da..80397aa0 100644 --- a/src/main/scala/uncore/axi4/Nodes.scala +++ b/src/main/scala/uncore/axi4/Nodes.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.axi4 diff --git a/src/main/scala/uncore/axi4/Parameters.scala b/src/main/scala/uncore/axi4/Parameters.scala index 17a74140..95c051b0 100644 --- a/src/main/scala/uncore/axi4/Parameters.scala +++ b/src/main/scala/uncore/axi4/Parameters.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.axi4 diff --git a/src/main/scala/uncore/axi4/Protocol.scala b/src/main/scala/uncore/axi4/Protocol.scala index 90403b46..b6d4d541 100644 --- a/src/main/scala/uncore/axi4/Protocol.scala +++ b/src/main/scala/uncore/axi4/Protocol.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.axi4 diff --git a/src/main/scala/uncore/axi4/RegisterRouter.scala b/src/main/scala/uncore/axi4/RegisterRouter.scala index 9f408c9a..fabf4722 100644 --- a/src/main/scala/uncore/axi4/RegisterRouter.scala +++ b/src/main/scala/uncore/axi4/RegisterRouter.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.axi4 diff --git a/src/main/scala/uncore/axi4/SRAM.scala b/src/main/scala/uncore/axi4/SRAM.scala index 7d259a11..eac4b012 100644 --- a/src/main/scala/uncore/axi4/SRAM.scala +++ b/src/main/scala/uncore/axi4/SRAM.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.axi4 diff --git a/src/main/scala/uncore/axi4/Test.scala b/src/main/scala/uncore/axi4/Test.scala index 42ce02bf..c0b3dfc3 100644 --- a/src/main/scala/uncore/axi4/Test.scala +++ b/src/main/scala/uncore/axi4/Test.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. + package uncore.axi4 import Chisel._ diff --git a/src/main/scala/uncore/axi4/ToTL.scala b/src/main/scala/uncore/axi4/ToTL.scala index 14a749bc..72a4e3f2 100644 --- a/src/main/scala/uncore/axi4/ToTL.scala +++ b/src/main/scala/uncore/axi4/ToTL.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.axi4 diff --git a/src/main/scala/uncore/axi4/package.scala b/src/main/scala/uncore/axi4/package.scala index 6a382b6d..cf730478 100644 --- a/src/main/scala/uncore/axi4/package.scala +++ b/src/main/scala/uncore/axi4/package.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + package uncore import Chisel._ diff --git a/src/main/scala/uncore/coherence/Directory.scala b/src/main/scala/uncore/coherence/Directory.scala index e0c3765b..080bfe17 100644 --- a/src/main/scala/uncore/coherence/Directory.scala +++ b/src/main/scala/uncore/coherence/Directory.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. package uncore.coherence import Chisel._ diff --git a/src/main/scala/uncore/coherence/Metadata.scala b/src/main/scala/uncore/coherence/Metadata.scala index 34fae81a..e1d07964 100644 --- a/src/main/scala/uncore/coherence/Metadata.scala +++ b/src/main/scala/uncore/coherence/Metadata.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. package uncore.coherence diff --git a/src/main/scala/uncore/coherence/Policies.scala b/src/main/scala/uncore/coherence/Policies.scala index 27ca0449..cc86ebd1 100644 --- a/src/main/scala/uncore/coherence/Policies.scala +++ b/src/main/scala/uncore/coherence/Policies.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. package uncore.coherence diff --git a/src/main/scala/uncore/converters/Ahb.scala b/src/main/scala/uncore/converters/Ahb.scala index d6f236d2..279979b8 100644 --- a/src/main/scala/uncore/converters/Ahb.scala +++ b/src/main/scala/uncore/converters/Ahb.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + package uncore.converters import Chisel._ diff --git a/src/main/scala/uncore/converters/Nasti.scala b/src/main/scala/uncore/converters/Nasti.scala index 8ff46afb..cce824b7 100644 --- a/src/main/scala/uncore/converters/Nasti.scala +++ b/src/main/scala/uncore/converters/Nasti.scala @@ -1,3 +1,6 @@ +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. + package uncore.converters import Chisel._ diff --git a/src/main/scala/uncore/converters/Tilelink.scala b/src/main/scala/uncore/converters/Tilelink.scala index 7d6c3f13..b62d603a 100644 --- a/src/main/scala/uncore/converters/Tilelink.scala +++ b/src/main/scala/uncore/converters/Tilelink.scala @@ -1,3 +1,6 @@ +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. + package uncore.converters import Chisel._ diff --git a/src/main/scala/uncore/devices/Bram.scala b/src/main/scala/uncore/devices/Bram.scala index e7d6751d..dfaee663 100644 --- a/src/main/scala/uncore/devices/Bram.scala +++ b/src/main/scala/uncore/devices/Bram.scala @@ -1,3 +1,6 @@ +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. + package uncore.devices import Chisel._ diff --git a/src/main/scala/uncore/devices/Debug.scala b/src/main/scala/uncore/devices/Debug.scala index cf3010e3..948f6c8b 100644 --- a/src/main/scala/uncore/devices/Debug.scala +++ b/src/main/scala/uncore/devices/Debug.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.devices diff --git a/src/main/scala/uncore/devices/Plic.scala b/src/main/scala/uncore/devices/Plic.scala index b28c05d1..e5a67488 100644 --- a/src/main/scala/uncore/devices/Plic.scala +++ b/src/main/scala/uncore/devices/Plic.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.devices diff --git a/src/main/scala/uncore/devices/Prci.scala b/src/main/scala/uncore/devices/Prci.scala index 9bd4748f..5c38987c 100644 --- a/src/main/scala/uncore/devices/Prci.scala +++ b/src/main/scala/uncore/devices/Prci.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.devices diff --git a/src/main/scala/uncore/devices/Rom.scala b/src/main/scala/uncore/devices/Rom.scala index 3cfab1e4..88159dd6 100644 --- a/src/main/scala/uncore/devices/Rom.scala +++ b/src/main/scala/uncore/devices/Rom.scala @@ -1,3 +1,6 @@ +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. + package uncore.devices import Chisel._ diff --git a/src/main/scala/uncore/tilelink/Arbiters.scala b/src/main/scala/uncore/tilelink/Arbiters.scala index c81ccee8..a0728964 100644 --- a/src/main/scala/uncore/tilelink/Arbiters.scala +++ b/src/main/scala/uncore/tilelink/Arbiters.scala @@ -1,3 +1,5 @@ +// See LICENSE.Berkeley for license details. + package uncore.tilelink import Chisel._ import junctions._ diff --git a/src/main/scala/uncore/tilelink/Crossing.scala b/src/main/scala/uncore/tilelink/Crossing.scala index a9d98d86..49bc1cf2 100644 --- a/src/main/scala/uncore/tilelink/Crossing.scala +++ b/src/main/scala/uncore/tilelink/Crossing.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + package uncore.tilelink import Chisel._ diff --git a/src/main/scala/uncore/tilelink/Definitions.scala b/src/main/scala/uncore/tilelink/Definitions.scala index 88becdd4..aafa283b 100644 --- a/src/main/scala/uncore/tilelink/Definitions.scala +++ b/src/main/scala/uncore/tilelink/Definitions.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink import Chisel._ diff --git a/src/main/scala/uncore/tilelink/Drivers.scala b/src/main/scala/uncore/tilelink/Drivers.scala index c926779a..ad8fc73d 100644 --- a/src/main/scala/uncore/tilelink/Drivers.scala +++ b/src/main/scala/uncore/tilelink/Drivers.scala @@ -1,3 +1,6 @@ +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. + package uncore.tilelink import Chisel._ diff --git a/src/main/scala/uncore/tilelink/Interconnect.scala b/src/main/scala/uncore/tilelink/Interconnect.scala index 544a6c51..10a0ec80 100644 --- a/src/main/scala/uncore/tilelink/Interconnect.scala +++ b/src/main/scala/uncore/tilelink/Interconnect.scala @@ -1,3 +1,6 @@ +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. + package uncore.tilelink import Chisel._ diff --git a/src/main/scala/uncore/tilelink/Network.scala b/src/main/scala/uncore/tilelink/Network.scala index 51702b32..c80c5675 100644 --- a/src/main/scala/uncore/tilelink/Network.scala +++ b/src/main/scala/uncore/tilelink/Network.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink diff --git a/src/main/scala/uncore/tilelink2/Arbiter.scala b/src/main/scala/uncore/tilelink2/Arbiter.scala index a42ca60c..f468c26a 100644 --- a/src/main/scala/uncore/tilelink2/Arbiter.scala +++ b/src/main/scala/uncore/tilelink2/Arbiter.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/AtomicAutomata.scala b/src/main/scala/uncore/tilelink2/AtomicAutomata.scala index 1a471334..6a8d0da8 100644 --- a/src/main/scala/uncore/tilelink2/AtomicAutomata.scala +++ b/src/main/scala/uncore/tilelink2/AtomicAutomata.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/Broadcast.scala b/src/main/scala/uncore/tilelink2/Broadcast.scala index 66cb95b8..ab39ada4 100644 --- a/src/main/scala/uncore/tilelink2/Broadcast.scala +++ b/src/main/scala/uncore/tilelink2/Broadcast.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/Buffer.scala b/src/main/scala/uncore/tilelink2/Buffer.scala index 3ca2dec0..425fb077 100644 --- a/src/main/scala/uncore/tilelink2/Buffer.scala +++ b/src/main/scala/uncore/tilelink2/Buffer.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/Bundles.scala b/src/main/scala/uncore/tilelink2/Bundles.scala index b61970f7..3645ecb8 100644 --- a/src/main/scala/uncore/tilelink2/Bundles.scala +++ b/src/main/scala/uncore/tilelink2/Bundles.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/Crossing.scala b/src/main/scala/uncore/tilelink2/Crossing.scala index 590cbc13..169b358b 100644 --- a/src/main/scala/uncore/tilelink2/Crossing.scala +++ b/src/main/scala/uncore/tilelink2/Crossing.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/Edges.scala b/src/main/scala/uncore/tilelink2/Edges.scala index 7ce91a8d..92deb987 100644 --- a/src/main/scala/uncore/tilelink2/Edges.scala +++ b/src/main/scala/uncore/tilelink2/Edges.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/Example.scala b/src/main/scala/uncore/tilelink2/Example.scala index c4095042..8af96528 100644 --- a/src/main/scala/uncore/tilelink2/Example.scala +++ b/src/main/scala/uncore/tilelink2/Example.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/Filter.scala b/src/main/scala/uncore/tilelink2/Filter.scala index d22d56b7..a834b5f4 100644 --- a/src/main/scala/uncore/tilelink2/Filter.scala +++ b/src/main/scala/uncore/tilelink2/Filter.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/Fragmenter.scala b/src/main/scala/uncore/tilelink2/Fragmenter.scala index 3c5c319f..fd9a44d2 100644 --- a/src/main/scala/uncore/tilelink2/Fragmenter.scala +++ b/src/main/scala/uncore/tilelink2/Fragmenter.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/Fuzzer.scala b/src/main/scala/uncore/tilelink2/Fuzzer.scala index c7ff80b9..38756238 100644 --- a/src/main/scala/uncore/tilelink2/Fuzzer.scala +++ b/src/main/scala/uncore/tilelink2/Fuzzer.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. + package uncore.tilelink2 import Chisel._ diff --git a/src/main/scala/uncore/tilelink2/HintHandler.scala b/src/main/scala/uncore/tilelink2/HintHandler.scala index 213f7625..1542f792 100644 --- a/src/main/scala/uncore/tilelink2/HintHandler.scala +++ b/src/main/scala/uncore/tilelink2/HintHandler.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/IntNodes.scala b/src/main/scala/uncore/tilelink2/IntNodes.scala index 86d441b7..241c944f 100644 --- a/src/main/scala/uncore/tilelink2/IntNodes.scala +++ b/src/main/scala/uncore/tilelink2/IntNodes.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/Isolation.scala b/src/main/scala/uncore/tilelink2/Isolation.scala index 6f592218..8bceeadf 100644 --- a/src/main/scala/uncore/tilelink2/Isolation.scala +++ b/src/main/scala/uncore/tilelink2/Isolation.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/Legacy.scala b/src/main/scala/uncore/tilelink2/Legacy.scala index 2a8b0341..373f1e5d 100644 --- a/src/main/scala/uncore/tilelink2/Legacy.scala +++ b/src/main/scala/uncore/tilelink2/Legacy.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/Metadata.scala b/src/main/scala/uncore/tilelink2/Metadata.scala index bee18753..8e70dcbc 100644 --- a/src/main/scala/uncore/tilelink2/Metadata.scala +++ b/src/main/scala/uncore/tilelink2/Metadata.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/Monitor.scala b/src/main/scala/uncore/tilelink2/Monitor.scala index 0da47da2..9af850fd 100644 --- a/src/main/scala/uncore/tilelink2/Monitor.scala +++ b/src/main/scala/uncore/tilelink2/Monitor.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/Nodes.scala b/src/main/scala/uncore/tilelink2/Nodes.scala index dc06dc8a..a78e3b0b 100644 --- a/src/main/scala/uncore/tilelink2/Nodes.scala +++ b/src/main/scala/uncore/tilelink2/Nodes.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/Parameters.scala b/src/main/scala/uncore/tilelink2/Parameters.scala index f732efd9..7418d575 100644 --- a/src/main/scala/uncore/tilelink2/Parameters.scala +++ b/src/main/scala/uncore/tilelink2/Parameters.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/RAMModel.scala b/src/main/scala/uncore/tilelink2/RAMModel.scala index ec5c8d90..02c997ea 100644 --- a/src/main/scala/uncore/tilelink2/RAMModel.scala +++ b/src/main/scala/uncore/tilelink2/RAMModel.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/RegisterRouter.scala b/src/main/scala/uncore/tilelink2/RegisterRouter.scala index 3004ea1f..42371e4e 100644 --- a/src/main/scala/uncore/tilelink2/RegisterRouter.scala +++ b/src/main/scala/uncore/tilelink2/RegisterRouter.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/RegisterRouterTest.scala b/src/main/scala/uncore/tilelink2/RegisterRouterTest.scala index 25f87100..35e8c3fa 100644 --- a/src/main/scala/uncore/tilelink2/RegisterRouterTest.scala +++ b/src/main/scala/uncore/tilelink2/RegisterRouterTest.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/Repeater.scala b/src/main/scala/uncore/tilelink2/Repeater.scala index 980c522e..f36afe62 100644 --- a/src/main/scala/uncore/tilelink2/Repeater.scala +++ b/src/main/scala/uncore/tilelink2/Repeater.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/SRAM.scala b/src/main/scala/uncore/tilelink2/SRAM.scala index 83e7f882..9f84eeee 100644 --- a/src/main/scala/uncore/tilelink2/SRAM.scala +++ b/src/main/scala/uncore/tilelink2/SRAM.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/SourceShrinker.scala b/src/main/scala/uncore/tilelink2/SourceShrinker.scala index 4870820a..3c0aa02e 100644 --- a/src/main/scala/uncore/tilelink2/SourceShrinker.scala +++ b/src/main/scala/uncore/tilelink2/SourceShrinker.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/ToAXI4.scala b/src/main/scala/uncore/tilelink2/ToAXI4.scala index ae2f41e3..3aefa307 100644 --- a/src/main/scala/uncore/tilelink2/ToAXI4.scala +++ b/src/main/scala/uncore/tilelink2/ToAXI4.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/WidthWidget.scala b/src/main/scala/uncore/tilelink2/WidthWidget.scala index bda6f377..bde1f830 100644 --- a/src/main/scala/uncore/tilelink2/WidthWidget.scala +++ b/src/main/scala/uncore/tilelink2/WidthWidget.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/Xbar.scala b/src/main/scala/uncore/tilelink2/Xbar.scala index 5c32ca94..401e9064 100644 --- a/src/main/scala/uncore/tilelink2/Xbar.scala +++ b/src/main/scala/uncore/tilelink2/Xbar.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package uncore.tilelink2 diff --git a/src/main/scala/uncore/tilelink2/package.scala b/src/main/scala/uncore/tilelink2/package.scala index bd6ad401..ffbff327 100644 --- a/src/main/scala/uncore/tilelink2/package.scala +++ b/src/main/scala/uncore/tilelink2/package.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + package uncore import Chisel._ diff --git a/src/main/scala/uncore/util/AmoAlu.scala b/src/main/scala/uncore/util/AmoAlu.scala index ce80bab0..c51f4ab3 100644 --- a/src/main/scala/uncore/util/AmoAlu.scala +++ b/src/main/scala/uncore/util/AmoAlu.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. package uncore.util diff --git a/src/main/scala/uncore/util/Cache.scala b/src/main/scala/uncore/util/Cache.scala index 59401e23..54aeee84 100644 --- a/src/main/scala/uncore/util/Cache.scala +++ b/src/main/scala/uncore/util/Cache.scala @@ -1,4 +1,5 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. package uncore.util diff --git a/src/main/scala/uncore/util/Counters.scala b/src/main/scala/uncore/util/Counters.scala index 2ad49f0b..e0f20741 100644 --- a/src/main/scala/uncore/util/Counters.scala +++ b/src/main/scala/uncore/util/Counters.scala @@ -1,3 +1,5 @@ +// See LICENSE.Berkeley for license details. + package uncore.util import Chisel._ diff --git a/src/main/scala/uncore/util/Ecc.scala b/src/main/scala/uncore/util/Ecc.scala index cfb6cd38..b478e3c2 100644 --- a/src/main/scala/uncore/util/Ecc.scala +++ b/src/main/scala/uncore/util/Ecc.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. package uncore.util diff --git a/src/main/scala/uncore/util/Enqueuer.scala b/src/main/scala/uncore/util/Enqueuer.scala index 163577dc..4e47b3cd 100644 --- a/src/main/scala/uncore/util/Enqueuer.scala +++ b/src/main/scala/uncore/util/Enqueuer.scala @@ -1,3 +1,6 @@ +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. + package uncore.util import Chisel._ diff --git a/src/main/scala/unittest/Configs.scala b/src/main/scala/unittest/Configs.scala index 48fbf81f..f46e9902 100644 --- a/src/main/scala/unittest/Configs.scala +++ b/src/main/scala/unittest/Configs.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package unittest diff --git a/src/main/scala/unittest/Generator.scala b/src/main/scala/unittest/Generator.scala index 36d64779..ea15d665 100644 --- a/src/main/scala/unittest/Generator.scala +++ b/src/main/scala/unittest/Generator.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package unittest diff --git a/src/main/scala/unittest/TestHarness.scala b/src/main/scala/unittest/TestHarness.scala index 1f979b74..d361d78c 100644 --- a/src/main/scala/unittest/TestHarness.scala +++ b/src/main/scala/unittest/TestHarness.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package unittest diff --git a/src/main/scala/unittest/UnitTest.scala b/src/main/scala/unittest/UnitTest.scala index 4dbc76e5..2f89597f 100644 --- a/src/main/scala/unittest/UnitTest.scala +++ b/src/main/scala/unittest/UnitTest.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + package unittest import Chisel._ diff --git a/src/main/scala/util/Arbiters.scala b/src/main/scala/util/Arbiters.scala index b3421496..d3fd1056 100644 --- a/src/main/scala/util/Arbiters.scala +++ b/src/main/scala/util/Arbiters.scala @@ -1,3 +1,5 @@ +// See LICENSE.Berkeley for license details. + package util import Chisel._ import config._ diff --git a/src/main/scala/util/AsyncBundle.scala b/src/main/scala/util/AsyncBundle.scala index 81057779..12561f47 100644 --- a/src/main/scala/util/AsyncBundle.scala +++ b/src/main/scala/util/AsyncBundle.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package util diff --git a/src/main/scala/util/AsyncQueue.scala b/src/main/scala/util/AsyncQueue.scala index dd3d7699..0b375568 100644 --- a/src/main/scala/util/AsyncQueue.scala +++ b/src/main/scala/util/AsyncQueue.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package util import Chisel._ diff --git a/src/main/scala/util/BlackBoxRegs.scala b/src/main/scala/util/BlackBoxRegs.scala index 14bba000..349dea0f 100644 --- a/src/main/scala/util/BlackBoxRegs.scala +++ b/src/main/scala/util/BlackBoxRegs.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + package util import Chisel._ diff --git a/src/main/scala/util/ClockDivider.scala b/src/main/scala/util/ClockDivider.scala index 7bd58338..500650a8 100644 --- a/src/main/scala/util/ClockDivider.scala +++ b/src/main/scala/util/ClockDivider.scala @@ -1,3 +1,5 @@ +// See LICENSE.Berkeley for license details. + package util import Chisel._ diff --git a/src/main/scala/util/Config.scala b/src/main/scala/util/Config.scala index fca8b747..730e653e 100644 --- a/src/main/scala/util/Config.scala +++ b/src/main/scala/util/Config.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + package config class Field[T] diff --git a/src/main/scala/util/ConfigUtils.scala b/src/main/scala/util/ConfigUtils.scala index 4e5cd8f0..ff5d42ce 100644 --- a/src/main/scala/util/ConfigUtils.scala +++ b/src/main/scala/util/ConfigUtils.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. package util diff --git a/src/main/scala/util/Counters.scala b/src/main/scala/util/Counters.scala index 0d9bccc1..2d12a13f 100644 --- a/src/main/scala/util/Counters.scala +++ b/src/main/scala/util/Counters.scala @@ -1,3 +1,6 @@ +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. + package util import Chisel._ diff --git a/src/main/scala/util/Crossing.scala b/src/main/scala/util/Crossing.scala index f466dc11..bb67aeed 100644 --- a/src/main/scala/util/Crossing.scala +++ b/src/main/scala/util/Crossing.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + package util import Chisel._ diff --git a/src/main/scala/util/GeneratorUtils.scala b/src/main/scala/util/GeneratorUtils.scala index 29161912..c421e8b2 100644 --- a/src/main/scala/util/GeneratorUtils.scala +++ b/src/main/scala/util/GeneratorUtils.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package util diff --git a/src/main/scala/util/GenericParameterizedBundle.scala b/src/main/scala/util/GenericParameterizedBundle.scala index 57298703..2b0104d1 100644 --- a/src/main/scala/util/GenericParameterizedBundle.scala +++ b/src/main/scala/util/GenericParameterizedBundle.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package util diff --git a/src/main/scala/util/HellaQueue.scala b/src/main/scala/util/HellaQueue.scala index 53a7e5f9..d2050d48 100644 --- a/src/main/scala/util/HellaQueue.scala +++ b/src/main/scala/util/HellaQueue.scala @@ -1,3 +1,5 @@ +// See LICENSE.Berkeley for license details. + package util import Chisel._ diff --git a/src/main/scala/util/LatencyPipe.scala b/src/main/scala/util/LatencyPipe.scala index b629bef8..5dc58337 100644 --- a/src/main/scala/util/LatencyPipe.scala +++ b/src/main/scala/util/LatencyPipe.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.Berkeley for license details. package util diff --git a/src/main/scala/util/Misc.scala b/src/main/scala/util/Misc.scala index f674160b..cf93be0d 100644 --- a/src/main/scala/util/Misc.scala +++ b/src/main/scala/util/Misc.scala @@ -1,3 +1,6 @@ +// See LICENSE.Berkeley for license details. +// See LICENSE.SiFive for license details. + package util import Chisel._ diff --git a/src/main/scala/util/Package.scala b/src/main/scala/util/Package.scala index 537f4267..e3dbd6c5 100644 --- a/src/main/scala/util/Package.scala +++ b/src/main/scala/util/Package.scala @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + import Chisel._ package object util { diff --git a/src/main/scala/util/PositionalMultiQueue.scala b/src/main/scala/util/PositionalMultiQueue.scala index e3879d64..77be2888 100644 --- a/src/main/scala/util/PositionalMultiQueue.scala +++ b/src/main/scala/util/PositionalMultiQueue.scala @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. package util import Chisel._ diff --git a/src/main/scala/util/ReorderQueue.scala b/src/main/scala/util/ReorderQueue.scala index c19115a0..5cd16cf0 100644 --- a/src/main/scala/util/ReorderQueue.scala +++ b/src/main/scala/util/ReorderQueue.scala @@ -1,3 +1,6 @@ +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. + package util import Chisel._ diff --git a/src/main/scala/util/Timer.scala b/src/main/scala/util/Timer.scala index 1b2dfdb7..25a901cb 100644 --- a/src/main/scala/util/Timer.scala +++ b/src/main/scala/util/Timer.scala @@ -1,4 +1,6 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. +// See LICENSE.Berkeley for license details. + package util import Chisel._ diff --git a/vsrc/AsyncResetReg.v b/vsrc/AsyncResetReg.v index 4775f6c6..37fb0402 100644 --- a/vsrc/AsyncResetReg.v +++ b/vsrc/AsyncResetReg.v @@ -1,4 +1,4 @@ - +// See LICENSE.SiFive for license details. /** This black-boxes an Async Reset * Reg. diff --git a/vsrc/DebugTransportModuleJtag.v b/vsrc/DebugTransportModuleJtag.v old mode 100755 new mode 100644 index da74adde..316353d7 --- a/vsrc/DebugTransportModuleJtag.v +++ b/vsrc/DebugTransportModuleJtag.v @@ -1,4 +1,4 @@ - +// See LICENSE.SiFive for license details. module DebugTransportModuleJtag ( diff --git a/vsrc/SimDTM.v b/vsrc/SimDTM.v index 9073e919..ca2171be 100644 --- a/vsrc/SimDTM.v +++ b/vsrc/SimDTM.v @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. import "DPI-C" function int debug_tick ( diff --git a/vsrc/TestDriver.v b/vsrc/TestDriver.v index 6e6ca404..73814bf4 100644 --- a/vsrc/TestDriver.v +++ b/vsrc/TestDriver.v @@ -1,4 +1,4 @@ -// See LICENSE for license details. +// See LICENSE.SiFive for license details. `ifndef RESET_DELAY `define RESET_DELAY 777.7 diff --git a/vsrc/jtag_vpi.v b/vsrc/jtag_vpi.v index 50afac9b..0d95ea18 100644 --- a/vsrc/jtag_vpi.v +++ b/vsrc/jtag_vpi.v @@ -1,3 +1,5 @@ +// See LICENSE.SiFive for license details. + /* * TCP/IP controlled VPI JTAG Interface. * Based on Julius Baxter's work on jp_vpi.c