From a86a9c5564d812ad43afc96ba0fe3957b9935aed Mon Sep 17 00:00:00 2001 From: pbing Date: Mon, 25 Sep 2017 23:11:28 +0200 Subject: [PATCH] Fix omitted parameter (#1014) --- vsrc/plusarg_reader.v | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vsrc/plusarg_reader.v b/vsrc/plusarg_reader.v index c3eb6513..0d245f9e 100644 --- a/vsrc/plusarg_reader.v +++ b/vsrc/plusarg_reader.v @@ -2,7 +2,7 @@ // No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment), // but Incisive demands them. These default values should never be used. -module plusarg_reader #(FORMAT="borked=%d", DEFAULT=0) ( +module plusarg_reader #(parameter FORMAT="borked=%d", DEFAULT=0) ( output [31:0] out );