From 9ea8c4e78162d91183399ee376ad63f1a49b75e5 Mon Sep 17 00:00:00 2001 From: Palmer Dabbelt Date: Fri, 26 Feb 2016 01:33:25 -0800 Subject: [PATCH] Add an 8-channel backup memory port config Now that the backup memory port works I want to test it. --- src/main/scala/Configs.scala | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/src/main/scala/Configs.scala b/src/main/scala/Configs.scala index 161708fa..8a16cc44 100644 --- a/src/main/scala/Configs.scala +++ b/src/main/scala/Configs.scala @@ -444,12 +444,16 @@ class DualChannelBenchmarkConfig extends Config(new With2MemoryChannels ++ new S class QuadChannelBenchmarkConfig extends Config(new With4MemoryChannels ++ new SingleChannelBenchmarkConfig) class OctoChannelBenchmarkConfig extends Config(new With8MemoryChannels ++ new SingleChannelBenchmarkConfig) +class EightChannelVLSIConfig extends Config(new With8MemoryChannels ++ new DefaultVLSIConfig) + class WithOneOrMaxChannels extends Config( (pname, site, here) => pname match { case MemoryChannelMuxConfigs => Dump("MEMORY_CHANNEL_MUX_CONFIGS", List(1, site(NMemoryChannels))) } ) class OneOrEightChannelBenchmarkConfig extends Config(new WithOneOrMaxChannels ++ new With8MemoryChannels ++ new SingleChannelBenchmarkConfig) +class OneOrEightChannelVLSIConfig extends Config(new WithOneOrMaxChannels ++ new EightChannelVLSIConfig) class SimulateBackupMemConfig extends Config(){ Dump("MEM_BACKUP_EN", true) } class BackupMemVLSIConfig extends Config(new SimulateBackupMemConfig ++ new DefaultVLSIConfig) +class OneOrEightChannelBackupMemVLSIConfig extends Config(new WithOneOrMaxChannels ++ new With8MemoryChannels ++ new BackupMemVLSIConfig)