From 8abf62fae345b05be38ecb79689757345f8dd476 Mon Sep 17 00:00:00 2001 From: Yunsup Lee Date: Fri, 12 Sep 2014 18:06:41 -0700 Subject: [PATCH] add LICENSE --- rocket/src/main/scala/arbiter.scala | 2 ++ rocket/src/main/scala/btb.scala | 2 ++ rocket/src/main/scala/consts.scala | 2 ++ rocket/src/main/scala/core.scala | 2 ++ rocket/src/main/scala/csr.scala | 2 ++ rocket/src/main/scala/ctrl.scala | 2 ++ rocket/src/main/scala/decode.scala | 2 ++ rocket/src/main/scala/dpath.scala | 2 ++ rocket/src/main/scala/dpath_alu.scala | 2 ++ rocket/src/main/scala/ecc.scala | 2 ++ rocket/src/main/scala/fpu.scala | 2 ++ rocket/src/main/scala/icache.scala | 2 ++ rocket/src/main/scala/instructions.scala | 2 ++ rocket/src/main/scala/multiplier.scala | 2 ++ rocket/src/main/scala/nbdcache.scala | 2 ++ rocket/src/main/scala/package.scala | 2 ++ rocket/src/main/scala/ptw.scala | 2 ++ rocket/src/main/scala/rocc.scala | 2 ++ rocket/src/main/scala/tile.scala | 2 ++ rocket/src/main/scala/tlb.scala | 2 ++ rocket/src/main/scala/util.scala | 2 ++ 21 files changed, 42 insertions(+) diff --git a/rocket/src/main/scala/arbiter.scala b/rocket/src/main/scala/arbiter.scala index 917cb816..b2a8482f 100644 --- a/rocket/src/main/scala/arbiter.scala +++ b/rocket/src/main/scala/arbiter.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package rocket import Chisel._ diff --git a/rocket/src/main/scala/btb.scala b/rocket/src/main/scala/btb.scala index 7cf24b5d..02ca111e 100644 --- a/rocket/src/main/scala/btb.scala +++ b/rocket/src/main/scala/btb.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package rocket import Chisel._ diff --git a/rocket/src/main/scala/consts.scala b/rocket/src/main/scala/consts.scala index 2b59413c..464ac39b 100644 --- a/rocket/src/main/scala/consts.scala +++ b/rocket/src/main/scala/consts.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package rocket package constants diff --git a/rocket/src/main/scala/core.scala b/rocket/src/main/scala/core.scala index d5549ef1..f02f1424 100644 --- a/rocket/src/main/scala/core.scala +++ b/rocket/src/main/scala/core.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package rocket import Chisel._ diff --git a/rocket/src/main/scala/csr.scala b/rocket/src/main/scala/csr.scala index 5a2cd7cf..b1e76254 100644 --- a/rocket/src/main/scala/csr.scala +++ b/rocket/src/main/scala/csr.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package rocket import Chisel._ diff --git a/rocket/src/main/scala/ctrl.scala b/rocket/src/main/scala/ctrl.scala index 78396897..d72eba45 100644 --- a/rocket/src/main/scala/ctrl.scala +++ b/rocket/src/main/scala/ctrl.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package rocket import Chisel._ diff --git a/rocket/src/main/scala/decode.scala b/rocket/src/main/scala/decode.scala index 6f27b4dd..f86a63b4 100644 --- a/rocket/src/main/scala/decode.scala +++ b/rocket/src/main/scala/decode.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package rocket import Chisel._ diff --git a/rocket/src/main/scala/dpath.scala b/rocket/src/main/scala/dpath.scala index 24e9c428..7df1a8d3 100644 --- a/rocket/src/main/scala/dpath.scala +++ b/rocket/src/main/scala/dpath.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package rocket import Chisel._ diff --git a/rocket/src/main/scala/dpath_alu.scala b/rocket/src/main/scala/dpath_alu.scala index f2d565cd..4f0edc87 100644 --- a/rocket/src/main/scala/dpath_alu.scala +++ b/rocket/src/main/scala/dpath_alu.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package rocket import Chisel._ diff --git a/rocket/src/main/scala/ecc.scala b/rocket/src/main/scala/ecc.scala index cc116ae5..a0612a1d 100644 --- a/rocket/src/main/scala/ecc.scala +++ b/rocket/src/main/scala/ecc.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package rocket import Chisel._ diff --git a/rocket/src/main/scala/fpu.scala b/rocket/src/main/scala/fpu.scala index b2db3502..9f069b45 100644 --- a/rocket/src/main/scala/fpu.scala +++ b/rocket/src/main/scala/fpu.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package rocket import Chisel._ diff --git a/rocket/src/main/scala/icache.scala b/rocket/src/main/scala/icache.scala index 1f85a22b..fb2dbb0c 100644 --- a/rocket/src/main/scala/icache.scala +++ b/rocket/src/main/scala/icache.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package rocket import Chisel._ diff --git a/rocket/src/main/scala/instructions.scala b/rocket/src/main/scala/instructions.scala index 5be33ff4..56ebf3c1 100644 --- a/rocket/src/main/scala/instructions.scala +++ b/rocket/src/main/scala/instructions.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package rocket import Chisel._ diff --git a/rocket/src/main/scala/multiplier.scala b/rocket/src/main/scala/multiplier.scala index 6a595c4b..a09f8586 100644 --- a/rocket/src/main/scala/multiplier.scala +++ b/rocket/src/main/scala/multiplier.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package rocket import Chisel._ diff --git a/rocket/src/main/scala/nbdcache.scala b/rocket/src/main/scala/nbdcache.scala index cdf360c4..9ed5658f 100644 --- a/rocket/src/main/scala/nbdcache.scala +++ b/rocket/src/main/scala/nbdcache.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package rocket import Chisel._ diff --git a/rocket/src/main/scala/package.scala b/rocket/src/main/scala/package.scala index 0fcb6580..85a0bf11 100644 --- a/rocket/src/main/scala/package.scala +++ b/rocket/src/main/scala/package.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package object rocket extends rocket.constants.ScalarOpConstants with rocket.constants.VectorOpConstants diff --git a/rocket/src/main/scala/ptw.scala b/rocket/src/main/scala/ptw.scala index db555957..3663a6b4 100644 --- a/rocket/src/main/scala/ptw.scala +++ b/rocket/src/main/scala/ptw.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package rocket import Chisel._ diff --git a/rocket/src/main/scala/rocc.scala b/rocket/src/main/scala/rocc.scala index c0d8decb..d3099043 100644 --- a/rocket/src/main/scala/rocc.scala +++ b/rocket/src/main/scala/rocc.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package rocket import Chisel._ diff --git a/rocket/src/main/scala/tile.scala b/rocket/src/main/scala/tile.scala index a332c089..34533e80 100644 --- a/rocket/src/main/scala/tile.scala +++ b/rocket/src/main/scala/tile.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package rocket import Chisel._ diff --git a/rocket/src/main/scala/tlb.scala b/rocket/src/main/scala/tlb.scala index 97d735b2..c5acad45 100644 --- a/rocket/src/main/scala/tlb.scala +++ b/rocket/src/main/scala/tlb.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package rocket import Chisel._ diff --git a/rocket/src/main/scala/util.scala b/rocket/src/main/scala/util.scala index 0fc0805f..485dc57f 100644 --- a/rocket/src/main/scala/util.scala +++ b/rocket/src/main/scala/util.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package rocket import Chisel._