diff --git a/junctions/src/main/scala/memserdes.scala b/junctions/src/main/scala/memserdes.scala index 52abf3c3..b66e5150 100644 --- a/junctions/src/main/scala/memserdes.scala +++ b/junctions/src/main/scala/memserdes.scala @@ -57,7 +57,7 @@ class MemPipeIO(implicit p: Parameters) extends ParameterizedBundle()(p) { class MemSerializedIO(w: Int)(implicit p: Parameters) extends ParameterizedBundle()(p) { val req = Decoupled(Bits(width = w)) val resp = Valid(Bits(width = w)).flip - //override def cloneType = new MemSerializedIO(w)(p).asInstanceOf[this.type] + override def cloneType = new MemSerializedIO(w)(p).asInstanceOf[this.type] } class MemSerdes(w: Int)(implicit p: Parameters) extends MIFModule