diff --git a/scripts/vlsi_rom_gen b/scripts/vlsi_rom_gen index a6539725..3e97070d 100755 --- a/scripts/vlsi_rom_gen +++ b/scripts/vlsi_rom_gen @@ -30,7 +30,7 @@ module {name}( initial begin `ifdef RANDOMIZE `ifdef RANDOMIZE_MEM_INIT - for (i = 0; i < {depth}; i++) begin + for (i = 0; i < {depth}; i = i + 1) begin rom[i] = {{{num_random_blocks}{{$random}}}}; end `endif