diff --git a/junctions/src/main/scala/jtag.scala b/junctions/src/main/scala/jtag.scala new file mode 100644 index 00000000..aa05c00a --- /dev/null +++ b/junctions/src/main/scala/jtag.scala @@ -0,0 +1,16 @@ +package junctions +import Chisel._ +import cde.{Parameters} + +class JtagIO(drvTdo : Boolean = false) extends Bundle { + + val TCK = Clock(OUTPUT) + val TMS = Bool(OUTPUT) + val TDI = Bool(OUTPUT) + val TDO = Bool(INPUT) + val TRST = Bool(OUTPUT) + + val DRV_TDO = if (drvTdo) Some(Bool(OUTPUT)) else None + override def cloneType = new JtagIO(drvTdo).asInstanceOf[this.type] + +}