diff --git a/vsrc/TestDriver.v b/vsrc/TestDriver.v index 3b266044..5ee28e41 100644 --- a/vsrc/TestDriver.v +++ b/vsrc/TestDriver.v @@ -1,12 +1,16 @@ // See LICENSE for license details. +`ifndef RESET_DELAY + `define RESET_DELAY 777.7 +`endif + module TestDriver; reg clock = 1'b0; reg reset = 1'b1; - always #(`CLOCK_PERIOD/2.0) clock = ~clock; - initial #777.7 reset = 0; + always #(`CLOCK_PERIOD/2.0) clk = ~clk; + initial #(`RESET_DELAY) reset = 0; // Read input arguments and initialize reg verbose = 1'b0;