From 77e4aa63f8e1b8a5defc4ac20c1d2b9c2fb9bd47 Mon Sep 17 00:00:00 2001 From: Megan Wachs Date: Fri, 9 Sep 2016 16:24:35 -0700 Subject: [PATCH] Get rid of the unecessary Parameters for Async Reset Reg --- src/main/scala/uncore/util/BlackBoxRegs.scala | 7 +++---- 1 file changed, 3 insertions(+), 4 deletions(-) diff --git a/src/main/scala/uncore/util/BlackBoxRegs.scala b/src/main/scala/uncore/util/BlackBoxRegs.scala index 21fe09f0..23271f9b 100644 --- a/src/main/scala/uncore/util/BlackBoxRegs.scala +++ b/src/main/scala/uncore/util/BlackBoxRegs.scala @@ -3,7 +3,6 @@ package uncore.util import Chisel._ import cde.{Parameters} -import junctions.{ParameterizedBundle} /** This black-boxes an Async Reset * Reg. @@ -47,7 +46,7 @@ class AsyncResetReg extends BlackBox { } -class SimpleRegIO(val w: Int)(implicit val p: Parameters) extends ParameterizedBundle()(p){ +class SimpleRegIO(val w: Int) extends Bundle{ val d = UInt(INPUT, width = w) val q = UInt(OUTPUT, width = w) @@ -56,9 +55,9 @@ class SimpleRegIO(val w: Int)(implicit val p: Parameters) extends ParameterizedB } -class AsyncResetRegVec(val w: Int, val init: Int)(implicit val p: Parameters) extends Module { +class AsyncResetRegVec(val w: Int, val init: Int) extends Module { - val io = new SimpleRegIO(w)(p) + val io = new SimpleRegIO(w) val bb_q = Wire(UInt(width = w)) val bb_d = Wire(UInt(width = w))