1
0

Update the directions on how to update Chisel

It seems that the update process in the README is really out of date
(it refers to scala-2.8 and chisel-1.1).  I've updated it to what I
believe to be correct, which now just consists of pulling the Chisel
submodule.

Note that I tried this myself, but when I did it I also ran an "sbt
package" in the Chisel submodule top-level directory (there's no "sbt"
directory in there any more).  I believe it's not necessary to run
"sbt package", but I really know nothing about SBT...
This commit is contained in:
Palmer Dabbelt 2014-08-05 11:56:03 -07:00
parent 693489da87
commit 0fc3acb978

9
README
View File

@ -63,12 +63,3 @@ UPDATING TO A NEWER VERSION OF CHISEL:
git submodule update --init git submodule update --init
cd chisel cd chisel
git pull origin master git pull origin master
Then, to compile it and install it into the rocket repo:
cd sbt
sbt package
cp chisel/target/scala-2.8.1/chisel_2.8.1-1.1.jar ../../sbt/work/lib
If you commit a new jar, you must also commit the updated chisel submodule.