diff --git a/src/main/scala/Configs.scala b/src/main/scala/Configs.scala index 8dc7a5ca..a71def02 100644 --- a/src/main/scala/Configs.scala +++ b/src/main/scala/Configs.scala @@ -229,6 +229,7 @@ class ZscaleConfig extends ChiselConfig(new WithZscale ++ new DefaultConfig) class FPGAConfig extends ChiselConfig ( (pname,site,here) => pname match { + case NAcquireTransactors => 4 case UseBackupMemoryPort => false } )