diff --git a/uncore/src/main/scala/cache.scala b/uncore/src/main/scala/cache.scala index c35aeedf..686f0ac1 100644 --- a/uncore/src/main/scala/cache.scala +++ b/uncore/src/main/scala/cache.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package uncore import Chisel._ diff --git a/uncore/src/main/scala/coherence.scala b/uncore/src/main/scala/coherence.scala index 45a1970c..5fa0b7a6 100644 --- a/uncore/src/main/scala/coherence.scala +++ b/uncore/src/main/scala/coherence.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package uncore import Chisel._ diff --git a/uncore/src/main/scala/consts.scala b/uncore/src/main/scala/consts.scala index 64d6c3a7..a402e7db 100644 --- a/uncore/src/main/scala/consts.scala +++ b/uncore/src/main/scala/consts.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package uncore package constants diff --git a/uncore/src/main/scala/htif.scala b/uncore/src/main/scala/htif.scala index 8d1b2618..edcd66cb 100644 --- a/uncore/src/main/scala/htif.scala +++ b/uncore/src/main/scala/htif.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package uncore import Chisel._ diff --git a/uncore/src/main/scala/llc.scala b/uncore/src/main/scala/llc.scala index 1878a356..35553702 100644 --- a/uncore/src/main/scala/llc.scala +++ b/uncore/src/main/scala/llc.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package uncore import Chisel._ diff --git a/uncore/src/main/scala/memserdes.scala b/uncore/src/main/scala/memserdes.scala index 02d74385..6f42f010 100644 --- a/uncore/src/main/scala/memserdes.scala +++ b/uncore/src/main/scala/memserdes.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package uncore import Chisel._ import scala.math._ diff --git a/uncore/src/main/scala/network.scala b/uncore/src/main/scala/network.scala index 72002d45..d3f4a9e8 100644 --- a/uncore/src/main/scala/network.scala +++ b/uncore/src/main/scala/network.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package uncore import Chisel._ diff --git a/uncore/src/main/scala/package.scala b/uncore/src/main/scala/package.scala index 501d511d..2c6c4a5f 100644 --- a/uncore/src/main/scala/package.scala +++ b/uncore/src/main/scala/package.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package object uncore extends uncore.constants.MemoryOpConstants { implicit def toOption[A](a: A) = Option(a) diff --git a/uncore/src/main/scala/slowio.scala b/uncore/src/main/scala/slowio.scala index 9ed0abb7..95ca34e6 100644 --- a/uncore/src/main/scala/slowio.scala +++ b/uncore/src/main/scala/slowio.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package uncore import Chisel._ diff --git a/uncore/src/main/scala/tilelink.scala b/uncore/src/main/scala/tilelink.scala index d49e264b..af736c97 100644 --- a/uncore/src/main/scala/tilelink.scala +++ b/uncore/src/main/scala/tilelink.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package uncore import Chisel._ diff --git a/uncore/src/main/scala/uncore.scala b/uncore/src/main/scala/uncore.scala index 580f0477..8f1a7a67 100644 --- a/uncore/src/main/scala/uncore.scala +++ b/uncore/src/main/scala/uncore.scala @@ -1,3 +1,5 @@ +// See LICENSE for license details. + package uncore import Chisel._