freedom/fpga/e300artydevkit/constrs/arty-config.xdc
2016-11-29 05:23:11 -08:00

6 lines
129 B
Tcl

set_property -dict [list \
CONFIG_VOLTAGE {3.3} \
CFGBVS {VCCO} \
BITSTREAM.CONFIG.SPI_BUSWIDTH {4} \
] [current_design]